etch profile modeling, etch profile, plasma etching, level set, arde, rie, profile evolution
# Etch Profile Mathematical Modeling
1. Introduction
Plasma etching is a critical step in semiconductor manufacturing where material is selectively removed from a wafer surface. The etch profile—the geometric shape of the etched feature—directly determines device performance, especially as feature sizes shrink below 5 nm.
1.1 Types of Etching
- Wet Etching: Uses liquid chemicals; typically isotropic; rarely used for advanced patterning
- Dry/Plasma Etching: Uses reactive gases and plasma; can be highly anisotropic; dominant in modern fabrication
1.2 Key Profile Characteristics to Model
- Sidewall angle: Ideally $90°$ for anisotropic etching
- Etch depth: Controlled by time and etch rate
- Undercut: Lateral etching beneath the mask
- Taper: Deviation from vertical sidewalls
- Bowing: Curved sidewall profile (mid-depth widening)
- Notching: Localized undercutting at material interfaces
- ARDE: Aspect Ratio Dependent Etching—etch rate variation with feature dimensions
- Loading effects: Pattern-density-dependent etch rates
2. Surface Evolution Equations
The challenge is tracking a moving boundary under spatially varying, angle-dependent removal rates.
2.1 Level Set Method
The surface is the zero level set of $\phi(\mathbf{x}, t)$:
$$
\frac{\partial \phi}{\partial t} + V_n |\nabla \phi| = 0
$$
Key quantities:
- Unit normal: $\hat{n} = \nabla \phi / |\nabla \phi|$
- Mean curvature: $\kappa = \nabla \cdot \hat{n} = \nabla \cdot (\nabla \phi / |\nabla \phi|)$
2.2 Advantages
- Handles topology changes (merge/split)
- Well-defined normals/curvature everywhere
- Extends naturally to 3D
2.3 Numerical Notes
- Reinitialize to maintain $|\nabla \phi| = 1$
- Upwind schemes (Godunov, ENO/WENO) for stability
- Fast Marching and Sparse Field are common
2.4 String/Segment Method (2D)
$$
\frac{d\mathbf{r}_i}{dt} = V_n(\mathbf{r}_i) \cdot \hat{n}_i
$$
- Advantage: simple implementation
- Disadvantage: struggles with topology changes
3. Etch Velocity Models
Velocity decomposition:
$$
V_n = V_{\text{physical}} + V_{\text{chemical}} + V_{\text{ion-enhanced}}
$$
3.1 Physical Sputtering (Yamamura-Sigmund)
$$
Y(\theta, E) = \frac{0.042\, Q(Z_2)\, S_n(E)}{U_s}\Big[1-\sqrt{E_{th}/E}\Big]^s f(\theta)
$$
Angular part:
$$
f(\theta) = \cos^{-f}(\theta)\, \exp[-\Sigma (1/\cos\theta - 1)]
$$
3.2 Ion-Enhanced Chemical Etching (RIE)
$$
R = k_1 \Gamma_F \theta_F + k_2 \Gamma_{\text{ion}} Y_{\text{phys}} + k_3 \Gamma_{\text{ion}}^a \Gamma_F^b (1 + \beta \theta_F)
$$
- Term 1: chemical
- Term 2: physical sputter
- Term 3: synergistic ion-chemical
3.3 Surface Kinetics (Langmuir-Hinshelwood)
$$
\frac{d\theta_F}{dt} = s_0 \Gamma_F (1-\theta_F) - k_d \theta_F - k_r \theta_F \Gamma_{\text{ion}}
$$
Steady state: $\theta_F = s_0 \Gamma_F / (s_0 \Gamma_F + k_d + k_r \Gamma_{\text{ion}})$
4. Transport in High-Aspect-Ratio Features
4.1 Knudsen Diffusion (neutrals)
$$
\Gamma(z) = \Gamma_0 P(AR), \quad P(AR) \approx \frac{1}{1 + 3AR/8}
$$
More exact: $P(L/R) = \tfrac{8R}{3L}(\sqrt{1+(L/R)^2} - 1)$
4.2 Ion Angular Distribution
$$
f(\theta) \propto \exp\Big(-\frac{m_i v_\perp^2}{2k_B T_i}\Big) \cos\theta
$$
Mean angle (collisionless sheath): $\langle\theta\rangle \approx \arctan\!\big(\sqrt{T_e/(eV_{\text{sheath}})}\big)$
Shadowing: $\theta_{\max}(z) = \arctan(w/2z)$
4.3 Sheath Potential
$$
V_s \approx \frac{k_B T_e}{2e} \ln\Big(\frac{m_i}{2\pi m_e}\Big)
$$
5. Profile Phenomena
5.1 Bowing (sidewall widening)
$$
V_{\text{lateral}}(z) = \int_0^{\theta_{\max}} Y(\theta')\, \Gamma_{\text{reflected}}(\theta', z)\, d\theta'
$$
5.2 Microtrenching (corner enhancement)
$$
\Gamma_{\text{corner}} = \Gamma_{\text{direct}} + \int \Gamma_{\text{incident}} R(\theta) G(\text{geometry})\, d\theta
$$
5.3 Notching (charging)
Poisson: $\nabla^2 V = -\rho/(\epsilon_0 \epsilon_r)$
Charge balance: $\partial \sigma/\partial t = J_{\text{ion}} - J_{\text{electron}} - J_{\text{secondary}}$
Deflection: $\theta_{\text{deflection}} \approx \arctan\big(q E_{\text{surface}} L / (2 E_{\text{ion}})\big)$
5.4 ARDE (RIE lag)
$$
\frac{ER(AR)}{ER_0} = \frac{1}{1 + \alpha AR^\beta}
$$
6. Computational Approaches
- Monte Carlo (feature scale): launch particles, track, reflect/react, accumulate rates
- Flux-based / view-factor: $V_n(\mathbf{x}) = \sum_j R_j \Gamma_j(\mathbf{x}) Y_j(\theta(\mathbf{x}))$
- Cellular automata: $P_{\text{etch}}(\text{cell}) = f(\Gamma_{\text{local}}, \text{neighbors}, \text{material})$
- DSMC (gas transport): molecule tracing with probabilistic collisions
7. Multi-Scale Integration
| Scale | Range | Physics | Method |
|---------|----------|-------------------------------|-------------------------|
| Reactor | cm–m | Plasma generation, gas flow | Fluid / hybrid PIC-MCC |
| Sheath | μm–mm | Ion acceleration, angles | Kinetic / fluid |
| Feature | nm–μm | Transport, surface evolution | Monte Carlo + level set |
| Atomic | Å | Reaction mechanisms, yields | MD, DFT |
7.1 Coupling
- Reactor → species densities/temps/fluxes to sheath
- Sheath → ion/neutral energy-angle distributions to feature
- Atomic → yield functions $Y(\theta, E)$ to feature scale
7.2 Governing Equations Summary
- Surface evolution: $\partial S/\partial t = V_n \hat{n}$
- Neutral transport: $\mathbf{v}\cdot\nabla f + (\mathbf{F}/m)\cdot\nabla_v f = (\partial f/\partial t)_{\text{coll}}$
- Ion trajectory: $m\, d^2\vec{r}/dt^2 = q(\vec{E} + \vec{v}\times\vec{B})$
8. Advanced Topics
8.1 Stochastic roughness (LER)
$$
\sigma_{LER}^2 = \frac{2}{\pi^2 n_s} \int \frac{PSD(f)}{f^2} \, df
$$
8.2 Pattern-dependent effects (loading)
$$
\frac{\partial n}{\partial t} = D\nabla^2 n - k_{\text{etch}} A_{\text{exposed}} n
$$
8.3 Machine Learning Surrogates
$$
\text{Profile}(t) = \mathcal{NN}(\text{Process conditions}, \text{Initial geometry}, t)
$$
Uses: rapid exploration, inverse optimization, real-time control.
9. Summary and Diagrams
9.1 Complete Flow
```text
Plasma Parameters
↓
Ion/Neutral Energy-Angle Distributions
↓
┌─────────────────────┴─────────────────────┐
↓ ↓
Transport in Feature Surface Chemistry
(Knudsen, charging) (coverage, reactions)
↓ ↓
└─────────────────────┬─────────────────────┘
↓
Local Etch Velocity
Vn(x, θ, Γ, T)
↓
Surface Evolution Equation
∂φ/∂t + Vn|∇φ| = 0
↓
Etch Profile
```
9.2 Equations
| Phenomenon | Equation |
|----------------------|-------------------------------------------------|
| Level set evolution | $\partial \phi/\partial t + V_n \|\nabla \phi\| = 0$ |
| Angular yield | $Y(\theta) = Y_0 \cos^{-f}(\theta) \exp[-\Sigma(1/\cos\theta - 1)]$ |
| ARDE | $ER(AR)/ER_0 = 1/(1 + \alpha AR^\beta)$ |
| Transmission prob. | $P(AR) = 1/(1 + 3AR/8)$ |
| Surface coverage | $\theta_F = s_0\Gamma_F / (s_0\Gamma_F + k_d + k_r\Gamma_{\text{ion}})$ |
9.3 Mathematical Elegance
- Geometry via $\phi$ evolution
- Physics via $V_n$ models
Modular structure enables independent improvement of geometry and physics.
ethics,bias,fairness
I can discuss high-level AI ethics, bias risks, and mitigations, and help you think about responsible uses of your system.
euler method sampling, generative models
Simple ODE solver for diffusion.
euv specific mathematics, euv mathematics, euv lithography mathematics, euv modeling, euv math
# EUV Lithography: Mathematical Modeling Framework
**Extreme Ultraviolet (EUV) lithography** uses 13.5 nm wavelength light to pattern semiconductor features at 7nm, 5nm, 3nm nodes and beyond. This document provides a comprehensive mathematical modeling reference.
## 1. Optical Image Formation
### 1.1 Partially Coherent Imaging (Hopkins Formulation)
The aerial image intensity at wafer level is governed by the **Hopkins imaging equation**:
$$
I(x,y) = \iint TCC(f_1, f_2) \cdot \tilde{M}(f_1) \cdot \tilde{M}^*(f_2) \cdot e^{i2\pi(f_1-f_2)\cdot\mathbf{r}} \, df_1 \, df_2
$$
Where the **Transmission Cross-Coefficient (TCC)** captures the optical system behavior:
$$
TCC(f_1, f_2) = \iint J(f) \cdot H(f + f_1) \cdot H^*(f + f_2) \, df
$$
**Key parameters:**
- $J(f)$ — Effective source (mutual intensity function)
- $H(f)$ — Pupil function including aberrations
- $\tilde{M}(f)$ — Fourier transform of mask reflectance
- $f_1, f_2$ — Spatial frequency coordinates
### 1.2 Aberration Modeling with Zernike Polynomials
Pupil aberrations are expanded in **Zernike polynomials**:
$$
H(\rho, \theta) = P(\rho) \cdot \exp\left[i \sum_{n,m} Z_{nm} R_n^m(\rho) \cos(m\theta)\right]
$$
**Zernike terms and their physical meaning:**
- $Z_4$ — Defocus
- $Z_5, Z_6$ — Astigmatism
- $Z_7, Z_8$ — Coma
- $Z_9$ — Spherical aberration
- $Z_{nm}$ — Higher-order aberrations
> **Note:** For EUV at $\lambda = 13.5$ nm, even sub-nanometer wavefront errors cause significant image degradation.
### 1.3 Key Optical Parameters
| Parameter | Symbol | EUV Value | High-NA EUV |
|-----------|--------|-----------|-------------|
| Wavelength | $\lambda$ | 13.5 nm | 13.5 nm |
| Numerical Aperture | $NA$ | 0.33 | 0.55 |
| Partial Coherence | $\sigma$ | 0.2–0.9 | 0.2–0.9 |
| k1 Factor | $k_1$ | 0.3–0.4 | 0.3–0.4 |
**Resolution limit (Rayleigh criterion):**
$$
R_{min} = k_1 \frac{\lambda}{NA}
$$
## 2. EUV Mask (Reticle) Modeling
### 2.1 Multilayer Reflectance — Transfer Matrix Method
EUV masks use **Mo/Si multilayer mirrors** (40–50 bilayer pairs). Reflectance is computed via the **transfer matrix method**:
$$
\begin{pmatrix} E_0^+ \\ E_0^- \end{pmatrix} = \prod_{j=1}^{N} M_j \begin{pmatrix} E_N^+ \\ 0 \end{pmatrix}
$$
Each layer contributes a **characteristic matrix**:
$$
M_j = \begin{pmatrix} \cos\beta_j & \frac{i\sin\beta_j}{\eta_j} \\ i\eta_j\sin\beta_j & \cos\beta_j \end{pmatrix}
$$
**Where:**
- $\beta_j = \frac{2\pi}{\lambda} n_j d_j \cos\theta_j$ — Phase thickness of layer $j$
- $\eta_j = n_j \cos\theta_j$ — Effective admittance (TE polarization)
- $n_j$ — Complex refractive index of layer $j$
- $d_j$ — Physical thickness of layer $j$
### 2.2 Complex Refractive Index at EUV
At 13.5 nm wavelength, materials have refractive indices very close to vacuum:
$$
\tilde{n} = 1 - \delta + i\beta
$$
**Typical values:**
| Material | $\delta$ | $\beta$ |
|----------|----------|---------|
| Si | 0.00183 | 0.00184 |
| Mo | 0.0076 | 0.0064 |
| Ru | 0.0104 | 0.0171 |
| TaN (absorber) | 0.041 | 0.038 |
### 2.3 3D Mask Effects (M3D) — Rigorous EM Simulation
Because feature sizes approach the wavelength, **rigorous electromagnetic simulation** is required.
**Maxwell's Equations (Time-Harmonic Form):**
$$
\nabla \times \mathbf{E} = -i\omega\mu_0 \mathbf{H}
$$
$$
\nabla \times \mathbf{H} = i\omega\epsilon \mathbf{E}
$$
**Numerical Methods:**
- **RCWA** (Rigorous Coupled-Wave Analysis)
- Expands fields in Fourier series
- Efficient for periodic structures
- Computational complexity: $O(N^3)$ where $N$ = number of harmonics
- **FDTD** (Finite-Difference Time-Domain)
- Direct time-stepping of Maxwell's equations
- Yee grid discretization:
$$
\frac{\partial E_x}{\partial t} = \frac{1}{\epsilon}\left(\frac{\partial H_z}{\partial y} - \frac{\partial H_y}{\partial z}\right)
$$
- **FEM** (Finite Element Method)
- Variational/weak formulation
- Adaptive meshing for complex geometries
**Shadowing Effect:**
The 6° chief ray angle in EUV creates pattern-dependent asymmetries:
$$
\Delta CD_{shadow} \approx 2h \cdot \tan(6°) \approx 0.21h
$$
where $h$ is the absorber height.
## 3. Stochastic Effects
### 3.1 Photon Shot Noise — The Critical EUV Challenge
At $\lambda = 13.5$ nm, photon energy is **~92 eV** (compared to 6.4 eV for ArF at 193 nm):
$$
E_{photon} = \frac{hc}{\lambda} = \frac{1240 \text{ eV} \cdot \text{nm}}{13.5 \text{ nm}} \approx 92 \text{ eV}
$$
**Number of photons per pixel:**
$$
N_{photons} = \frac{E \cdot A_{pixel}}{h\nu} = \frac{E \cdot A_{pixel} \cdot \lambda}{hc}
$$
**Example calculation:**
- Dose: $E = 30$ mJ/cm²
- Pixel area: $A = (10 \text{ nm})^2 = 10^{-12}$ cm²
- Result: $N \approx 200$ photons
**Relative fluctuation (Poisson statistics):**
$$
\frac{\sigma_N}{N} = \frac{1}{\sqrt{N}} \approx 7\%
$$
### 3.2 Stochastic Line Edge Roughness (LER)
Total LER combines multiple independent noise sources:
$$
\sigma_{LER}^2 = \sigma_{photon}^2 + \sigma_{PAG}^2 + \sigma_{acid}^2 + \sigma_{develop}^2
$$
**Component models:**
- **Photon shot noise:**
$$\sigma_{photon} \propto \frac{1}{\sqrt{D}}$$
where $D$ is dose
- **PAG (Photo-Acid Generator) distribution:**
- Poisson statistics on molecular positions
- Typical density: $\rho_{PAG} \sim 1\text{–}2 \text{ nm}^{-3}$
- Fluctuation: $\sigma_{PAG} \propto \frac{1}{\sqrt{\rho_{PAG} \cdot V}}$
- **Acid diffusion:**
- Random walk during post-exposure bake
- Diffusion length: $L_d = \sqrt{2D_a t_{PEB}}$
- **Development roughness:**
- Percolation-type dissolution
- Depends on polymer molecular weight distribution
### 3.3 Resolution-LER-Sensitivity (RLS) Trade-off
The fundamental **RLS triangle** constrains lithographic performance:
$$
Z = R^3 \cdot L^2 \cdot S^{-1}
$$
**Where:**
- $R$ — Resolution (half-pitch)
- $L$ — Line edge roughness (3σ)
- $S$ — Sensitivity (dose to clear)
> **Physical limit:** This product has a quantum mechanical minimum that cannot be overcome without fundamental changes to imaging physics.
**Practical targets for advanced nodes:**
| Node | Resolution | LER (3σ) | Dose |
|------|------------|----------|------|
| 7 nm | 18 nm HP | < 3.6 nm | ~20 mJ/cm² |
| 5 nm | 14 nm HP | < 2.8 nm | ~30 mJ/cm² |
| 3 nm | 10 nm HP | < 2.0 nm | ~40 mJ/cm² |
## 4. Resist Chemistry Modeling
### 4.1 Chemically Amplified Resist (CAR) Kinetics
**Step 1: Exposure — Acid Generation**
Photo-acid generator (PAG) decomposition follows first-order kinetics:
$$
[H^+] = [PAG]_0 \left(1 - e^{-\sigma \Phi}\right)
$$
**Where:**
- $[PAG]_0$ — Initial PAG concentration
- $\sigma$ — Absorption cross-section (cm²)
- $\Phi$ — Photon fluence (photons/cm²)
**Quantum yield consideration:**
$$
[H^+] = \phi_a \cdot [PAG]_0 \left(1 - e^{-\sigma \Phi}\right)
$$
where $\phi_a$ is the acid generation quantum yield.
### 4.2 Post-Exposure Bake — Reaction-Diffusion System
**Acid diffusion with quenching:**
$$
\frac{\partial [H^+]}{\partial t} = D_a \nabla^2[H^+] - k_q[H^+][Q]
$$
**Deprotection reaction (catalytic):**
$$
\frac{\partial [P]}{\partial t} = -k_{amp}[H^+][P]
$$
**Parameter definitions:**
- $[P]$ — Protected polymer concentration
- $[Q]$ — Quencher concentration
- $D_a$ — Acid diffusion coefficient (~10–50 nm²/s)
- $k_q$ — Quenching rate constant
- $k_{amp}$ — Catalytic amplification rate
**Acid blur (diffusion length):**
$$
\sigma_{acid} = \sqrt{2 D_a t_{PEB}}
$$
### 4.3 Development Rate Models
**Mack Model (Enhanced Notch Model):**
$$
R = R_{max} \frac{(a+1)(1-m)^n}{a + (1-m)^n} + R_{min}
$$
**Where:**
- $R$ — Development rate
- $m$ — Normalized inhibitor concentration (0 to 1)
- $R_{max}$ — Maximum development rate (fully deprotected)
- $R_{min}$ — Minimum development rate (unexposed)
- $n$ — Dissolution selectivity parameter
- $a$ — Notch parameter
**Original Dill Parameters:**
$$
\frac{dm}{dE} = -C \cdot I \cdot m
$$
**Dissolution contrast:**
$$
\gamma = \frac{d \ln R}{d \ln E}\bigg|_{E=E_{th}}
$$
## 5. Computational Lithography / OPC
### 5.1 Inverse Lithography Problem
**Objective function:**
$$
M_{opt} = \arg\min_M \mathcal{L}(M)
$$
**Loss function with regularization:**
$$
\mathcal{L}(M) = \underbrace{\left\| I_{target} - \mathcal{F}(M) \right\|^2}_{\text{Pattern fidelity}} + \underbrace{\lambda_1 R_{MRC}(M)}_{\text{Mask rules}} + \underbrace{\lambda_2 R_{MEEF}(M)}_{\text{Error sensitivity}}
$$
**Where:**
- $\mathcal{F}$ — Forward optical model (mask → aerial image)
- $R_{MRC}$ — Mask rule check penalty (manufacturability)
- $R_{MEEF}$ — Mask error enhancement factor penalty
- $\lambda_1, \lambda_2$ — Regularization weights
### 5.2 Gradient Computation — Adjoint Method
**Gradient of loss with respect to mask:**
$$
\frac{\partial \mathcal{L}}{\partial M} = 2 \cdot \text{Re}\left[ TCC^{\dagger} \cdot (I_{simulated} - I_{target}) \right]
$$
**Iterative update (gradient descent):**
$$
M^{(k+1)} = M^{(k)} - \alpha \frac{\partial \mathcal{L}}{\partial M}\bigg|_{M^{(k)}}
$$
### 5.3 Source-Mask Optimization (SMO)
**Joint optimization problem:**
$$
\min_{S,M} \sum_{i=1}^{N_{patterns}} w_i \left\| I_i^{target} - I(S, M_i) \right\|^2
$$
**Subject to constraints:**
- Source intensity: $\int\int S(x,y) \, dx \, dy = 1$
- Source non-negativity: $S(x,y) \geq 0$
- Mask manufacturability: $M \in \mathcal{M}_{feasible}$
**Source parameterization (multipole illumination):**
$$
S(\sigma_x, \sigma_y) = \sum_{k=1}^{N_{poles}} A_k \cdot G(\sigma_x - \sigma_{x,k}, \sigma_y - \sigma_{y,k}; \sigma_0)
$$
### 5.4 Mask Error Enhancement Factor (MEEF)
$$
MEEF = \frac{\partial CD_{wafer}}{\partial CD_{mask}} \cdot M
$$
where $M$ is the reduction ratio (typically 4×).
**For ideal imaging:** MEEF = 1
**For sub-resolution features:** MEEF can exceed 2–5
## 6. Flare and Scattered Light
### 6.1 Mirror Roughness Contribution
**Flare PSF from mid-spatial-frequency roughness:**
$$
PSF_{flare}(r) = \frac{1}{(2\pi)^2} \int_0^\infty PSD(f) \cdot |H_{scatter}(f)|^2 \cdot J_0(2\pi f r) \cdot 2\pi f \, df
$$
**Where:**
- $PSD(f)$ — Power spectral density of surface roughness
- $H_{scatter}(f)$ — Scattering transfer function
- $J_0$ — Bessel function of the first kind
### 6.2 Total Flare Level
**Definition:**
$$
Flare = \frac{\int\int PSF_{flare}(r) \, dA}{\int\int PSF_{total}(r) \, dA}
$$
**Typical values:**
- DUV (193 nm): 1–2%
- EUV (13.5 nm): 3–10%
### 6.3 Impact on Image Contrast
**Modified aerial image:**
$$
I_{with flare}(x,y) = (1 - F) \cdot I_{ideal}(x,y) + F \cdot \bar{I}
$$
where $F$ is the flare fraction and $\bar{I}$ is the average intensity.
**Contrast degradation:**
$$
NILS_{with flare} = NILS_{ideal} \cdot (1 - F)
$$
## 7. Overlay and Distortion Modeling
### 7.1 Wafer Distortion — Polynomial Model
**Displacement fields:**
$$
\delta x(x,y) = \sum_{i+j \leq n} a_{ij} x^i y^j
$$
$$
\delta y(x,y) = \sum_{i+j \leq n} b_{ij} x^i y^j
$$
**Common terms and physical meaning:**
| Coefficient | Physical Effect |
|-------------|-----------------|
| $a_{10}, b_{01}$ | Translation |
| $a_{10}, b_{01}$ (scaled) | Magnification |
| $a_{01}, b_{10}$ | Rotation |
| $a_{20}, b_{02}$ | Asymmetric magnification |
| Higher order | Field curvature, distortion |
### 7.2 Overlay Budget
**Total overlay (RSS):**
$$
OVL_{total} = \sqrt{OVL_{tool}^2 + OVL_{process}^2 + OVL_{wafer}^2 + OVL_{mask}^2}
$$
**Typical requirements:**
| Node | Overlay Spec |
|------|-------------|
| 7 nm | < 3.0 nm |
| 5 nm | < 2.0 nm |
| 3 nm | < 1.5 nm |
### 7.3 Machine Learning for Overlay Correction
**Neural network predictor:**
$$
(\delta x, \delta y)_{predicted} = f_{NN}(\mathbf{x}_{sensors}, \mathbf{x}_{context}, \mathbf{x}_{history})
$$
**Features include:**
- Wafer stage sensor data
- Temperature measurements
- Previous layer metrology
- Process history
## 8. Monte Carlo Stochastic Simulation
### 8.1 Full Stochastic Model Chain
**Sequential simulation steps:**
1. **Photon absorption**
- Poisson-distributed absorption events
- Position: $(x_i, y_i, z_i)$ random in resist volume
- Number: $N \sim \text{Poisson}(\bar{N})$
2. **Secondary electron cascade**
- Each 92 eV photon generates ~3–4 secondary electrons
- Electron trajectory: Monte Carlo scattering simulation
- Energy deposition: Bethe stopping power
3. **Acid generation**
- Binomial sampling at PAG molecule locations
- $P(\text{activation}) = 1 - e^{-\sigma E_{local}}$
4. **Acid diffusion (PEB)**
- Random walk simulation
- Step size: $\Delta r = \sqrt{2D_a \Delta t}$
5. **Deprotection**
- Probabilistic reaction at each polymer site
- $P(\text{deprotect}) = 1 - e^{-k_{amp}[H^+]\Delta t}$
6. **Development**
- Kinetic Monte Carlo (KMC) dissolution
- Site-dependent removal rates
### 8.2 Secondary Electron Blur
**Point spread function:**
$$
PSF_{e}(r) = \frac{1}{2\pi\sigma_e^2} \exp\left(-\frac{r^2}{2\sigma_e^2}\right)
$$
**Typical blur:** $\sigma_e \approx 2\text{–}5$ nm for EUV resists
**Energy-dependent blur:**
$$
\sigma_e(E) = a \cdot E^b
$$
### 8.3 Kinetic Monte Carlo (KMC) Development
**Transition rate for site removal:**
$$
k_i = k_0 \exp\left(-\frac{E_a - \gamma \cdot n_{neighbors}}{k_B T}\right)
$$
**Where:**
- $E_a$ — Base activation energy
- $\gamma$ — Neighbor interaction energy
- $n_{neighbors}$ — Number of connected neighbors
- $k_B T$ — Thermal energy
**Algorithm:**
1. Calculate all site rates $\{k_i\}$
2. Total rate: $K = \sum_i k_i$
3. Time step: $\Delta t = -\frac{\ln(u_1)}{K}$, $u_1 \sim U(0,1)$
4. Select site with probability $P_i = k_i/K$
5. Remove site, update neighbors
6. Repeat until target depth reached
## 9. Process Window Analysis
### 9.1 Bossung Curves
**CD as a function of focus (parabolic approximation):**
$$
CD(F) = CD_0 + \alpha(F - F_{best})^2
$$
**Where:**
- $CD_0$ — Best focus CD
- $F_{best}$ — Best focus position
- $\alpha$ — Curvature coefficient (nm/nm²)
### 9.2 Depth of Focus (DOF)
**Rayleigh DOF:**
$$
DOF = k_2 \frac{\lambda}{NA^2}
$$
**Typical values:**
| System | NA | DOF |
|--------|-----|-----|
| EUV | 0.33 | ~80–100 nm |
| High-NA EUV | 0.55 | ~35–45 nm |
### 9.3 Exposure Latitude
**Definition:**
$$
EL = \frac{E_{max} - E_{min}}{E_{nominal}} \times 100\%
$$
**Normalized Image Log-Slope (NILS):**
$$
NILS = w \cdot \frac{1}{I} \frac{dI}{dx}\bigg|_{edge}
$$
where $w$ is the feature width.
**Correlation:** Higher NILS → larger exposure latitude
### 9.4 Process Variability Band (PVB)
**Total CD variation from all sources:**
$$
PVB = \sqrt{\sum_i \left(\frac{\partial CD}{\partial p_i}\right)^2 \sigma_{p_i}^2}
$$
**Contributing parameters $(p_i)$:**
- Dose variation
- Focus variation
- Mask CD variation
- Aberrations
- Resist thickness
- PEB temperature
## 10. Machine Learning Integration
### 10.1 Applications in EUV Modeling
| Application | ML Method | Input | Output |
|-------------|-----------|-------|--------|
| Aerial image prediction | CNN | Mask layout | Image intensity |
| Hotspot detection | Deep learning | Pattern clips | Pass/fail |
| Resist model calibration | Gaussian process | Process params | CD |
| Virtual metrology | Random forest | Sensor data | Wafer quality |
| OPC acceleration | GNN | Layout graph | OPC corrections |
### 10.2 Convolutional Neural Networks for Imaging
**Architecture for mask → aerial image:**
$$
I_{predicted} = CNN_\theta(M_{input})
$$
**Loss function:**
$$
\mathcal{L}(\theta) = \frac{1}{N}\sum_{i=1}^{N} \|I_i^{rigorous} - CNN_\theta(M_i)\|^2
$$
### 10.3 Physics-Informed Neural Networks (PINNs)
**Combined loss function:**
$$
\mathcal{L} = \underbrace{\mathcal{L}_{data}}_{\text{Measurement fit}} + \lambda \cdot \underbrace{\mathcal{L}_{physics}}_{\text{Maxwell residual}}
$$
**Physics loss (Maxwell's equations):**
$$
\mathcal{L}_{physics} = \|\nabla \times \mathbf{E} + i\omega\mu_0\mathbf{H}\|^2 + \|\nabla \times \mathbf{H} - i\omega\epsilon\mathbf{E}\|^2
$$
### 10.4 Transfer Learning for New Processes
**Pre-train on simulation data:**
$$
\theta^{(0)} = \arg\min_\theta \mathcal{L}_{simulation}(\theta)
$$
**Fine-tune on experimental data:**
$$
\theta^{*} = \arg\min_\theta \mathcal{L}_{experimental}(\theta; \theta^{(0)})
$$
## 11. Summary
### 11.1 Mathematical Tools by Domain
| Domain | Mathematical Framework |
|--------|----------------------|
| Source modeling | Plasma physics, MHD, radiation transport |
| Optical imaging | Fourier optics, Hopkins TCC, Zernike polynomials |
| Mask modeling | Transfer matrices, RCWA/FDTD, Maxwell solvers |
| Aerial image | Convolution, partially coherent imaging |
| Stochastics | Poisson statistics, random walks, percolation |
| Resist chemistry | Reaction-diffusion PDEs, Arrhenius kinetics |
| OPC/ILT | Inverse problems, gradient optimization, regularization |
| Process control | Statistical process control, Kalman filtering |
| ML augmentation | CNNs, PINNs, surrogate models, transfer learning |
### 11.2 Key Equations Summary
**Resolution:**
$$R = k_1 \frac{\lambda}{NA}$$
**Depth of Focus:**
$$DOF = k_2 \frac{\lambda}{NA^2}$$
**Photon count:**
$$N = \frac{E \cdot A \cdot \lambda}{hc}$$
**Shot noise:**
$$\sigma_N / N = 1/\sqrt{N}$$
**RLS Trade-off:**
$$Z = R^3 \cdot L^2 \cdot S^{-1}$$
**Hopkins Imaging:**
$$I = \iint TCC \cdot \tilde{M}(f_1) \cdot \tilde{M}^*(f_2) \cdot e^{i2\pi(f_1-f_2)\cdot\mathbf{r}} df_1 df_2$$
### 11.3 Emerging Challenges
- **High-NA EUV (0.55 NA)**
- Anamorphic optics (4× in x, 8× in y)
- Polarization effects become critical
- DOF reduced to ~35 nm
- **Stochastic limits**
- Approaching quantum limits of imaging
- New resist chemistries needed
- Multi-trigger and dry resist concepts
- **Computational complexity**
- Full chip rigorous simulation infeasible
- ML surrogate models essential
- Real-time OPC requirements
event-based graphs, graph neural networks
Event-based temporal graphs represent edge additions and deletions as continuous-time events.
evol-instruct, training techniques
Evol-Instruct iteratively evolves instructions making them more complex and diverse.
evolutionary architecture search, neural architecture
Use evolutionary algorithms for NAS.
evolutionary nas, neural architecture search
Evolutionary neural architecture search uses genetic algorithms with mutation and crossover operations to explore discrete architecture spaces.
evolvegcn, graph neural networks
EvolveGCN learns temporal graph representations by evolving GCN parameters over time using RNNs rather than maintaining separate models per snapshot.
evonorm, neural architecture
Evolved normalization and activation.
execution feedback,code ai
Run generated code get errors and iterate to fix.
execution trace, ai agents
Execution traces document complete agent behavior sequences for analysis.
expanded uncertainty, metrology
Uncertainty with confidence interval.
expanding window, time series models
Expanding window forecasting retrains on all historical data including newest observations.
expectation over transformation, eot, ai safety
Evaluate robustness over transformations.
expediting, supply chain & logistics
Expediting accelerates delivery of critical materials through special handling or premium shipping.
expert capacity, llm architecture
Expert capacity limits tokens assigned to each expert preventing overload.
expert parallelism, llm architecture
Expert parallelism distributes experts across devices for scalable MoE training.
expert parallelism,distributed training
Distribute MoE experts across different GPUs.
expert routing, llm architecture
Expert routing assigns tokens to appropriate specialized sub-networks.
expert routing,model architecture
Mechanism to select which experts process each input.
explainable ai for fab, data analysis
Interpret ML model decisions.
explainable recommendation,recommender systems
Provide reasons for recommendations.
exponential backoff, llm optimization
Exponential backoff increases delay between retry attempts preventing thundering herd.
exponential smoothing, time series models
Exponential smoothing forecasts by weighted averaging past observations with exponentially decreasing weights for older data.
extended kalman filter, time series models
Extended Kalman Filter linearizes nonlinear dynamics locally enabling state estimation in nonlinear systems.
extended producer, environmental & sustainability
Extended producer responsibility obligates manufacturers to manage product end-of-life.
external failure costs, quality
Costs from defects at customer.
eyring model, business & standards
Eyring model generalizes acceleration relationships for multiple stress factors.