mac efficiency, mac, model optimization
Multiply-accumulate efficiency quantifies utilization of hardware MAC units during inference.
288 technical terms and definitions
Multiply-accumulate efficiency quantifies utilization of hardware MAC units during inference.
Structural key descriptors.
High-accuracy molecular modeling.
# Semiconductor Manufacturing Process: Machine Learning Applications & Mathematical Modeling
A comprehensive exploration of the intersection of advanced mathematics, statistical learning, and semiconductor physics.
## 1. The Problem Landscape
Semiconductor manufacturing is arguably the most complex manufacturing process ever devised:
- **500+ sequential process steps** for advanced chips
- **Thousands of control parameters** per tool
- **Sub-nanometer precision** requirements (modern nodes at 3nm, moving to 2nm)
- **Billions of transistors** per chip
- **Yield sensitivity** — a single defect can destroy a \$10,000+ chip
This creates an ideal environment for ML:
- High dimensionality
- Massive data generation
- Complex nonlinear physics
- Enormous economic stakes
### Key Manufacturing Stages
1. **Front-end processing (wafer fabrication)**
- Photolithography
- Etching (wet and dry)
- Deposition (CVD, PVD, ALD)
- Ion implantation
- Chemical mechanical planarization (CMP)
- Oxidation
- Metallization
2. **Back-end processing**
- Wafer testing
- Dicing
- Packaging
- Final testing
## 2. Core Mathematical Frameworks
### 2.1 Virtual Metrology (VM)
**Problem**: Physical metrology is slow and expensive. Predict metrology outcomes from in-situ sensor data.
**Mathematical formulation**:
Given process sensor data $\mathbf{X} \in \mathbb{R}^{n \times p}$ and sparse metrology measurements $\mathbf{y} \in \mathbb{R}^n$, learn:
$$
\hat{y} = f(\mathbf{x}; \theta)
$$
**Key approaches**:
| Method | Mathematical Form | Strengths |
|--------|-------------------|-----------|
| Partial Least Squares (PLS) | Maximize $\text{Cov}(\mathbf{Xw}, \mathbf{Yc})$ | Handles multicollinearity |
| Gaussian Process Regression | $f(x) \sim \mathcal{GP}(m(x), k(x,x'))$ | Uncertainty quantification |
| Neural Networks | Compositional nonlinear mappings | Captures complex interactions |
| Ensemble Methods | Aggregation of weak learners | Robustness |
**Critical mathematical consideration — Regularization**:
$$
L(\theta) = \|\mathbf{y} - f(\mathbf{X};\theta)\|^2 + \lambda_1\|\theta\|_1 + \lambda_2\|\theta\|_2^2
$$
The **elastic net penalty** is essential because semiconductor data has:
- High collinearity among sensors
- Far more features than samples for new processes
- Need for interpretable sparse solutions
### 2.2 Fault Detection and Classification (FDC)
**Mathematical framework for detection**:
Define normal operating region $\Omega$ from training data. For new observation $\mathbf{x}$, compute:
$$
d(\mathbf{x}, \Omega) = \text{anomaly score}
$$
#### PCA-based Approach (Industry Workhorse)
Project data onto principal components. Compute:
- **$T^2$ statistic** (variation within model):
$$
T^2 = \sum_{i=1}^{k} \frac{t_i^2}{\lambda_i}
$$
- **$Q$ statistic / SPE** (variation outside model):
$$
Q = \|\mathbf{x} - \hat{\mathbf{x}}\|^2 = \|(I - PP^T)\mathbf{x}\|^2
$$
#### Deep Learning Extensions
- **Autoencoders**: Reconstruction error as anomaly score
- **Variational Autoencoders**: Probabilistic anomaly detection via ELBO
- **One-class Neural Networks**: Learn decision boundary around normal data
#### Fault Classification
Given fault signatures, this becomes multi-class classification. The mathematical challenge is **class imbalance** — faults are rare.
**Solutions**:
- SMOTE and variants for synthetic oversampling
- Cost-sensitive learning
- **Focal loss**:
$$
FL(p) = -\alpha(1-p)^\gamma \log(p)
$$
### 2.3 Run-to-Run (R2R) Process Control
**The control problem**: Processes drift due to chamber conditioning, consumable wear, and environmental variation. Adjust recipe parameters between wafer runs to maintain targets.
#### EWMA Controller (Simplest Form)
$$
u_{k+1} = u_k + \lambda \cdot G^{-1}(y_{\text{target}} - y_k)
$$
where $G$ is the process gain matrix $\left(\frac{\partial y}{\partial u}\right)$.
#### Model Predictive Control Formulation
$$
\min_{u_k} J = (y_{\text{target}} - \hat{y}_k)^T Q (y_{\text{target}} - \hat{y}_k) + \Delta u_k^T R \, \Delta u_k
$$
**Subject to**:
- Process model: $\hat{y} = f(u, \text{state})$
- Constraints: $u_{\min} \leq u \leq u_{\max}$
#### Adaptive/Learning R2R
The process model drifts. Use recursive estimation:
$$
\hat{\theta}_{k+1} = \hat{\theta}_k + K_k(y_k - \hat{y}_k)
$$
where $K$ is the **Kalman gain**, or use online gradient descent for neural network models.
### 2.4 Yield Modeling and Optimization
#### Classical Defect-Limited Yield
**Poisson model**:
$$
Y = e^{-AD}
$$
where $A$ = chip area, $D$ = defect density.
**Negative binomial** (accounts for clustering):
$$
Y = \left(1 + \frac{AD}{\alpha}\right)^{-\alpha}
$$
#### ML-based Yield Prediction
The yield is a complex function of hundreds of process parameters across all steps. This is a high-dimensional regression problem with:
- Interactions between distant process steps
- Nonlinear effects
- Spatial patterns on wafer
**Gradient boosted trees** (XGBoost, LightGBM) excel here due to:
- Automatic feature selection
- Interaction detection
- Robustness to outliers
#### Spatial Yield Modeling
Uses Gaussian processes with spatial kernels:
$$
k(x_i, x_j) = \sigma^2 \exp\left(-\frac{\|x_i - x_j\|^2}{2\ell^2}\right)
$$
to capture systematic wafer-level patterns.
## 3. Physics-Informed Machine Learning
### 3.1 The Hybrid Paradigm
Pure data-driven models struggle with:
- Extrapolation beyond training distribution
- Limited data for new processes
- Physical implausibility of predictions
#### Physics-Informed Neural Networks (PINNs)
$$
L = L_{\text{data}} + \lambda_{\text{physics}} L_{\text{physics}}
$$
where $L_{\text{physics}}$ enforces physical laws.
**Examples in semiconductor context**:
| Process | Governing Physics | PDE Constraint |
|---------|-------------------|----------------|
| Thermal processing | Heat equation | $\frac{\partial T}{\partial t} = \alpha \nabla^2 T$ |
| Diffusion/implant | Fick's law | $\frac{\partial C}{\partial t} = D \nabla^2 C$ |
| Plasma etch | Boltzmann + fluid | Complex coupled system |
| CMP | Preston equation | $\frac{dh}{dt} = k_p \cdot P \cdot V$ |
### 3.2 Computational Lithography
#### The Forward Problem
Mask pattern $M(\mathbf{r})$ → Optical system $H(\mathbf{k})$ → Aerial image → Resist chemistry → Final pattern
$$
I(\mathbf{r}) = \left|\mathcal{F}^{-1}\{H(\mathbf{k}) \cdot \mathcal{F}\{M(\mathbf{r})\}\}\right|^2
$$
#### Inverse Lithography / OPC
Given target pattern, find mask that produces it. This is a **non-convex optimization**:
$$
\min_M \|P_{\text{target}} - P(M)\|^2 + R(M)
$$
#### ML Acceleration
- **CNNs** learn the forward mapping (1000× faster than rigorous simulation)
- **GANs** for mask synthesis
- **Differentiable lithography simulators** for end-to-end optimization
## 4. Time Series and Sequence Modeling
### 4.1 Equipment Health Monitoring
#### Remaining Useful Life (RUL) Prediction
Model equipment degradation as a stochastic process:
$$
S(t) = S_0 + \int_0^t g(S(\tau), u(\tau)) \, d\tau + \sigma W(t)
$$
#### Deep Learning Approaches
- **LSTM/GRU**: Capture long-range temporal dependencies in sensor streams
- **Temporal Convolutional Networks**: Dilated convolutions for efficient long sequences
- **Transformers**: Attention over maintenance history and operating conditions
### 4.2 Trace Data Analysis
Each wafer run produces high-frequency sensor traces (temperature, pressure, RF power, etc.).
#### Feature Extraction Approaches
- Statistical moments (mean, variance, skewness)
- Frequency domain (FFT coefficients)
- Wavelet decomposition
- Learned features via 1D CNNs or autoencoders
#### Dynamic Time Warping (DTW)
For trace comparison:
$$
DTW(X, Y) = \min_{\pi} \sum_{(i,j) \in \pi} d(x_i, y_j)
$$
## 5. Bayesian Optimization for Process Development
### 5.1 The Experimental Challenge
New process development requires finding optimal recipe settings with minimal experiments (each wafer costs \$1000+, time is critical).
#### Bayesian Optimization Framework
1. Fit Gaussian Process surrogate to observations
2. Compute acquisition function
3. Query next point: $x_{\text{next}} = \arg\max_x \alpha(x)$
4. Repeat
#### Acquisition Functions
- **Expected Improvement**:
$$
EI(x) = \mathbb{E}[\max(f(x) - f^*, 0)]
$$
- **Knowledge Gradient**: Value of information from observing at $x$
- **Upper Confidence Bound**:
$$
UCB(x) = \mu(x) + \kappa\sigma(x)
$$
### 5.2 High-Dimensional Extensions
Standard BO struggles beyond ~20 dimensions. Semiconductor recipes have 50-200 parameters.
**Solutions**:
- **Random embeddings** (REMBO)
- **Additive structure**: $f(\mathbf{x}) = \sum_i f_i(x_i)$
- **Trust region methods** (TuRBO)
- **Neural network surrogates**
## 6. Causal Inference for Root Cause Analysis
### 6.1 The Problem
**Correlation ≠ Causation**. When yield drops, engineers need to find the *cause*, not just correlated variables.
#### Granger Causality (Time Series)
$X$ Granger-causes $Y$ if past $X$ improves prediction of $Y$ beyond past $Y$ alone:
$$
\sigma^2(Y_t | Y_{
Apply ML to optimize recipes predict defects or improve yield.
Learn forces from quantum calculations.
Use ML to interpret optical spectra.
Use neural networks to interpret scatterometry.
ESD from charged machine.
Macro search spaces in NAS define entire network topologies rather than repeatable cells or modules.
Mask large portions and reconstruct.
Find unexplained constants.
Magnetic field imaging detects current flow through inductively coupled sensors revealing shorts and current paths.
Magnitude pruning removes weights with smallest absolute values based on importance threshold.
Remove weights with smallest magnitudes.
Metapath Aggregated Graph Neural Network learns from heterogeneous graphs using metapath-based neighbor encoding.
Use model-generated explanations recursively.
Main effects represent average impact of factors ignoring other factors.
Impact of single factor on response.
Bulk material removal step.
Main body of cluster tool housing transfer chamber and modules.
Score code maintainability.
Maintainability is ease and speed of performing maintenance activities.
Maintenance prevention designs equipment for reliability and ease of maintenance.
Record time spent on maintenance.
Maintenance windows schedule downtime minimizing production impact.
Make-A-Video generates videos from text using spatiotemporal diffusion models.
Mamba uses selective state space models for efficient sequence modeling without attention.
State-space model architecture efficient for long sequences.
Mamba/S4 are state-space models that replace full attention with more efficient recurrence-style updates, aiming for faster long-sequence processing.
Meta-learning method that finds good initialization for fast adaptation.
Transform latent code to style.
Marching cubes extracts mesh surfaces from volumetric data or implicit functions.
Marked point processes attach additional information marks to events capturing both occurrence times and event attributes.
Sample from posterior distributions.
State-based reliability model.
Focus on misclassified examples.
Marvin provides AI functions in Python. Natural language to structured data.
Soften mask edges.
Fix defects on photomasks.
Predict masked patches.
MLM pretraining masks random tokens, model predicts them. BERT-style. Bidirectional understanding.
Predict masked words given image.
MLM conditioned on images.
BERT-style masked token prediction.
Predict masked image regions.
Predict masked image regions.
Models covering 100+ languages.
Material recovery extracts valuable substances from electronic waste through mechanical and chemical processes.
# Semiconductor Manufacturing Process: Materials Science & Mathematical Modeling A comprehensive guide to the physics, chemistry, and mathematics underlying modern semiconductor fabrication. ## 1. Overview Modern semiconductor manufacturing is one of the most complex and precise engineering endeavors ever undertaken. Key characteristics include: - **Feature sizes**: Leading-edge nodes at 3nm, 2nm, and research into sub-nm - **Precision requirements**: Atomic-level control (angstrom tolerances) - **Process steps**: Hundreds of sequential operations per chip - **Yield sensitivity**: Parts-per-billion defect control ### 1.1 Core Process Steps - **Crystal Growth** - Czochralski (CZ) process - Float-zone (FZ) refining - Epitaxial growth - **Pattern Definition** - Photolithography (DUV, EUV) - Electron-beam lithography - Nanoimprint lithography - **Material Addition** - Chemical Vapor Deposition (CVD) - Physical Vapor Deposition (PVD) - Atomic Layer Deposition (ALD) - Epitaxy (MBE, MOCVD) - **Material Removal** - Wet etching (isotropic) - Dry/plasma etching (anisotropic) - Chemical Mechanical Polishing (CMP) - **Doping** - Ion implantation - Thermal diffusion - Plasma doping - **Thermal Processing** - Oxidation - Annealing (RTA, spike, laser) - Silicidation ## 2. Materials Science Foundations ### 2.1 Silicon Properties - **Crystal structure**: Diamond cubic (Fd3m space group) - **Lattice constant**: $a = 5.431 \text{ Å}$ - **Bandgap**: $E_g = 1.12 \text{ eV}$ (indirect, at 300K) - **Intrinsic carrier concentration**: $$n_i = \sqrt{N_c N_v} \exp\left(-\frac{E_g}{2k_B T}\right)$$ At 300K: $n_i \approx 1.0 \times 10^{10} \text{ cm}^{-3}$ ### 2.2 Crystal Defects - **Point Defects** - **Vacancies (V)**: Missing lattice atoms - **Self-interstitials (I)**: Extra Si atoms in interstitial sites - **Substitutional impurities**: Dopants (B, P, As, Sb) - **Interstitial impurities**: Fast diffusers (Fe, Cu, Au) - **Line Defects** - **Edge dislocations**: Extra half-plane of atoms - **Screw dislocations**: Helical atomic arrangement - **Dislocation density target**: $< 100 \text{ cm}^{-2}$ for device wafers - **Planar Defects** - **Stacking faults**: ABCABC → ABCBCABC - **Twin boundaries**: Mirror symmetry planes - **Grain boundaries**: (avoided in single-crystal wafers) ### 2.3 Dielectric Materials | Material | Dielectric Constant ($\kappa$) | Bandgap (eV) | Application | |----------|-------------------------------|--------------|-------------| | SiO₂ | 3.9 | 9.0 | Traditional gate oxide | | Si₃N₄ | 7.5 | 5.3 | Spacers, hard masks | | HfO₂ | ~25 | 5.8 | High-κ gate dielectric | | Al₂O₃ | 9 | 8.8 | ALD dielectric | | ZrO₂ | ~25 | 5.8 | High-κ gate dielectric | **Equivalent Oxide Thickness (EOT)**: $$\text{EOT} = t_{\text{high-}\kappa} \cdot \frac{\kappa_{\text{SiO}_2}}{\kappa_{\text{high-}\kappa}} = t_{\text{high-}\kappa} \cdot \frac{3.9}{\kappa_{\text{high-}\kappa}}$$ ### 2.4 Interconnect Materials - **Evolution**: Al/SiO₂ → Cu/low-κ → Cu/air-gap → (future: Ru, Co) - **Electromigration** - Black's equation for mean time to failure: $$\text{MTTF} = A \cdot j^{-n} \exp\left(\frac{E_a}{k_B T}\right)$$ Where: - $j$ = current density - $n$ ≈ 1-2 (current exponent) - $E_a$ ≈ 0.7-0.9 eV for Cu ## 3. Crystal Growth Modeling ### 3.1 Czochralski Process Physics The Czochralski process involves pulling a single crystal from a melt. Key phenomena: - **Heat transfer** (conduction, convection, radiation) - **Fluid dynamics** (buoyancy-driven and forced convection) - **Mass transport** (dopant distribution) - **Phase change** (solidification at the interface) ### 3.2 Heat Transfer Equation $$\rho c_p \frac{\partial T}{\partial t} = \nabla \cdot (k \nabla T) + Q$$ Where: - $\rho$ = density [kg/m³] - $c_p$ = specific heat capacity [J/(kg·K)] - $k$ = thermal conductivity [W/(m·K)] - $Q$ = volumetric heat source [W/m³] ### 3.3 Stefan Problem (Phase Change) At the solid-liquid interface, the Stefan condition applies: $$k_s \frac{\partial T_s}{\partial n} - k_\ell \frac{\partial T_\ell}{\partial n} = \rho L v_n$$ Where: - $k_s$, $k_\ell$ = thermal conductivity of solid and liquid - $L$ = latent heat of fusion [J/kg] - $v_n$ = interface velocity normal to the surface [m/s] ### 3.4 Melt Convection (Navier-Stokes with Boussinesq Approximation) $$\rho \left( \frac{\partial \mathbf{v}}{\partial t} + \mathbf{v} \cdot \nabla \mathbf{v} \right) = -\nabla p + \mu \nabla^2 \mathbf{v} + \rho \mathbf{g} \beta (T - T_0)$$ Dimensionless parameters: - **Grashof number**: $Gr = \frac{g \beta \Delta T L^3}{\nu^2}$ - **Prandtl number**: $Pr = \frac{\nu}{\alpha}$ - **Rayleigh number**: $Ra = Gr \cdot Pr$ ### 3.5 Dopant Segregation **Equilibrium segregation coefficient**: $$k_0 = \frac{C_s}{C_\ell}$$ **Effective segregation coefficient** (Burton-Prim-Slichter model): $$k_{\text{eff}} = \frac{k_0}{k_0 + (1 - k_0) \exp\left(-\frac{v \delta}{D}\right)}$$ Where: - $v$ = crystal pull rate [m/s] - $\delta$ = boundary layer thickness [m] - $D$ = diffusion coefficient in melt [m²/s] **Dopant concentration along crystal** (normal freezing): $$C_s(f) = k_{\text{eff}} C_0 (1 - f)^{k_{\text{eff}} - 1}$$ Where $f$ = fraction solidified. ## 4. Diffusion Modeling ### 4.1 Fick's Laws **First Law** (flux proportional to concentration gradient): $$\mathbf{J} = -D \nabla C$$ **Second Law** (conservation equation): $$\frac{\partial C}{\partial t} = \nabla \cdot (D \nabla C)$$ For constant $D$ in 1D: $$\frac{\partial C}{\partial t} = D \frac{\partial^2 C}{\partial x^2}$$ ### 4.2 Analytical Solutions **Constant surface concentration** (predeposition): $$C(x,t) = C_s \cdot \text{erfc}\left(\frac{x}{2\sqrt{Dt}}\right)$$ **Fixed total dose** (drive-in): $$C(x,t) = \frac{Q}{\sqrt{\pi D t}} \exp\left(-\frac{x^2}{4Dt}\right)$$ Where: - $C_s$ = surface concentration - $Q$ = total dose [atoms/cm²] - $\text{erfc}(z) = 1 - \text{erf}(z)$ = complementary error function ### 4.3 Temperature Dependence Diffusion coefficient follows Arrhenius behavior: $$D = D_0 \exp\left(-\frac{E_a}{k_B T}\right)$$ | Dopant | $D_0$ (cm²/s) | $E_a$ (eV) | |--------|---------------|------------| | B | 0.76 | 3.46 | | P | 3.85 | 3.66 | | As | 0.32 | 3.56 | | Sb | 0.214 | 3.65 | ### 4.4 Point-Defect Mediated Diffusion Dopants diffuse via interactions with point defects. The total diffusivity: $$D_{\text{eff}} = D_I \frac{C_I}{C_I^*} + D_V \frac{C_V}{C_V^*}$$ Where: - $D_I$, $D_V$ = interstitial and vacancy components - $C_I^*$, $C_V^*$ = equilibrium concentrations **Coupled defect-dopant equations**: $$\frac{\partial C_I}{\partial t} = D_I \nabla^2 C_I + G_I - k_{IV} C_I C_V$$ $$\frac{\partial C_V}{\partial t} = D_V \nabla^2 C_V + G_V - k_{IV} C_I C_V$$ Where: - $G_I$, $G_V$ = generation rates - $k_{IV}$ = I-V recombination rate constant ### 4.5 Transient Enhanced Diffusion (TED) After ion implantation, excess interstitials cause enhanced diffusion: - **"+1" model**: Each implanted ion creates ~1 net interstitial - **TED factor**: Can enhance diffusion by 10-1000× - **Decay time**: τ ~ seconds at high T, hours at low T ## 5. Ion Implantation ### 5.1 Range Statistics **Gaussian approximation** (light ions, amorphous target): $$n(x) = \frac{\phi}{\sqrt{2\pi} \Delta R_p} \exp\left(-\frac{(x - R_p)^2}{2 \Delta R_p^2}\right)$$ Where: - $\phi$ = implant dose [ions/cm²] - $R_p$ = projected range [nm] - $\Delta R_p$ = range straggle (standard deviation) [nm] **Pearson IV distribution** (heavier ions, includes skewness and kurtosis): $$n(x) = \frac{\phi}{\Delta R_p} \cdot f\left(\frac{x - R_p}{\Delta R_p}; \gamma, \beta\right)$$ ### 5.2 Stopping Power **Total stopping power** (LSS theory): $$S(E) = -\frac{1}{N}\frac{dE}{dx} = S_n(E) + S_e(E)$$ Where: - $S_n(E)$ = nuclear stopping (elastic collisions with nuclei) - $S_e(E)$ = electronic stopping (inelastic interactions with electrons) - $N$ = atomic density of target **Nuclear stopping** (screened Coulomb potential): $$S_n(E) = \frac{\pi a^2 \gamma E}{1 + M_2/M_1}$$ Where: - $a$ = screening length - $\gamma = 4 M_1 M_2 / (M_1 + M_2)^2$ **Electronic stopping** (velocity-proportional regime): $$S_e(E) = k_e \sqrt{E}$$ ### 5.3 Monte Carlo Simulation (BCA) The Binary Collision Approximation treats each collision as isolated: 1. **Free flight**: Ion travels until next collision 2. **Collision**: Classical two-body scattering 3. **Energy loss**: Nuclear + electronic contributions 4. **Repeat**: Until ion stops ($E < E_{\text{threshold}}$) **Scattering angle** (center of mass frame): $$\theta_{cm} = \pi - 2 \int_{r_{min}}^{\infty} \frac{b \, dr}{r^2 \sqrt{1 - V(r)/E_{cm} - b^2/r^2}}$$ ### 5.4 Damage Accumulation **Kinchin-Pease model** for displacement damage: $$N_d = \frac{0.8 E_d}{2 E_{th}}$$ Where: - $N_d$ = number of displaced atoms - $E_d$ = damage energy deposited - $E_{th}$ = displacement threshold (~15 eV for Si) **Amorphization**: Occurs when damage density exceeds ~10% of atomic density ## 6. Thermal Oxidation ### 6.1 Deal-Grove Model The oxide thickness $x$ as a function of time $t$: $$x^2 + A x = B(t + \tau)$$ Or solved for thickness: $$x = \frac{A}{2} \left( \sqrt{1 + \frac{4B(t + \tau)}{A^2}} - 1 \right)$$ ### 6.2 Rate Constants **Parabolic rate constant** (diffusion-limited): $$B = \frac{2 D C^*}{N_1}$$ Where: - $D$ = diffusion coefficient of O₂ in SiO₂ - $C^*$ = equilibrium concentration at surface - $N_1$ = number of oxidant molecules per unit volume of oxide **Linear rate constant** (reaction-limited): $$\frac{B}{A} = \frac{k_s C^*}{N_1}$$ Where $k_s$ = surface reaction rate constant ### 6.3 Limiting Cases **Thin oxide** ($x \ll A$): Linear regime $$x \approx \frac{B}{A}(t + \tau)$$ **Thick oxide** ($x \gg A$): Parabolic regime $$x \approx \sqrt{B(t + \tau)}$$ ### 6.4 Temperature and Pressure Dependence $$B = B_0 \exp\left(-\frac{E_B}{k_B T}\right) \cdot \frac{p}{p_0}$$ $$\frac{B}{A} = \left(\frac{B}{A}\right)_0 \exp\left(-\frac{E_{B/A}}{k_B T}\right) \cdot \frac{p}{p_0}$$ | Condition | $E_B$ (eV) | $E_{B/A}$ (eV) | |-----------|------------|----------------| | Dry O₂ | 1.23 | 2.0 | | Wet O₂ (H₂O) | 0.78 | 2.05 | ## 7. Chemical Vapor Deposition (CVD) ### 7.1 Reactor Transport Equations **Continuity equation**: $$\nabla \cdot (\rho \mathbf{v}) = 0$$ **Momentum equation** (Navier-Stokes): $$\rho \left( \frac{\partial \mathbf{v}}{\partial t} + \mathbf{v} \cdot \nabla \mathbf{v} \right) = -\nabla p + \mu \nabla^2 \mathbf{v} + \rho \mathbf{g}$$ **Energy equation**: $$\rho c_p \left( \frac{\partial T}{\partial t} + \mathbf{v} \cdot \nabla T \right) = \nabla \cdot (k \nabla T) + \sum_i H_i R_i$$ **Species transport**: $$\frac{\partial (\rho Y_i)}{\partial t} + \nabla \cdot (\rho \mathbf{v} Y_i) = \nabla \cdot (\rho D_i \nabla Y_i) + M_i \sum_j \nu_{ij} r_j$$ Where: - $Y_i$ = mass fraction of species $i$ - $D_i$ = diffusion coefficient - $\nu_{ij}$ = stoichiometric coefficient - $r_j$ = reaction rate of reaction $j$ ### 7.2 Surface Reaction Kinetics **Langmuir-Hinshelwood mechanism**: $$R_s = \frac{k_s K_1 K_2 p_1 p_2}{(1 + K_1 p_1 + K_2 p_2)^2}$$ **First-order surface reaction**: $$R_s = k_s C_s = k_s \cdot h_m (C_g - C_s)$$ At steady state: $$C_s = \frac{h_m C_g}{h_m + k_s}$$ ### 7.3 Step Coverage **Thiele modulus** for feature filling: $$\Phi = L \sqrt{\frac{k_s}{D_{\text{Kn}}}}$$ Where: - $L$ = feature depth - $D_{\text{Kn}}$ = Knudsen diffusion coefficient **Step coverage behavior**: - $\Phi \ll 1$: Reaction-limited → conformal deposition - $\Phi \gg 1$: Transport-limited → poor step coverage ### 7.4 Growth Rate $$G = \frac{M_f}{\rho_f} \cdot R_s = \frac{M_f}{\rho_f} \cdot \frac{h_m k_s C_g}{h_m + k_s}$$ Where: - $M_f$ = molecular weight of film - $\rho_f$ = film density ## 8. Atomic Layer Deposition (ALD) ### 8.1 Self-Limiting Surface Reactions ALD relies on sequential, self-saturating surface reactions. **Surface site model**: $$\frac{d\theta}{dt} = k_{\text{ads}} p (1 - \theta) - k_{\text{des}} \theta$$ At steady state: $$\theta_{eq} = \frac{K p}{1 + K p}$$ Where $K = k_{\text{ads}} / k_{\text{des}}$ = equilibrium constant ### 8.2 Growth Per Cycle (GPC) $$\text{GPC} = \Gamma_{\text{max}} \cdot \theta \cdot \frac{M_f}{\rho_f N_A}$$ Where: - $\Gamma_{\text{max}}$ = maximum surface site density [sites/cm²] - $\theta$ = surface coverage (0 to 1) - $N_A$ = Avogadro's number **Typical GPC values**: - Al₂O₃ (TMA/H₂O): ~1.1 Å/cycle - HfO₂ (HfCl₄/H₂O): ~1.0 Å/cycle - TiN (TiCl₄/NH₃): ~0.4 Å/cycle ### 8.3 Conformality in High Aspect Ratio Features **Penetration depth**: $$\Lambda = \sqrt{\frac{D_{\text{Kn}}}{k_s \Gamma_{\text{max}}}}$$ **Conformality factor**: $$\text{CF} = \frac{1}{\sqrt{1 + (L/\Lambda)^2}}$$ For 100% conformality: Require $L \ll \Lambda$ ## 9. Plasma Etching ### 9.1 Plasma Fundamentals **Electron energy balance**: $$n_e \frac{\partial}{\partial t}\left(\frac{3}{2} k_B T_e\right) = \nabla \cdot (\kappa_e \nabla T_e) + P_{\text{abs}} - P_{\text{loss}}$$ **Debye length** (shielding distance): $$\lambda_D = \sqrt{\frac{\epsilon_0 k_B T_e}{n_e e^2}}$$ **Plasma frequency**: $$\omega_{pe} = \sqrt{\frac{n_e e^2}{\epsilon_0 m_e}}$$ ### 9.2 Sheath Physics **Child-Langmuir law** (collisionless sheath): $$J_i = \frac{4 \epsilon_0}{9} \sqrt{\frac{2e}{M_i}} \frac{V_s^{3/2}}{d^2}$$ Where: - $J_i$ = ion current density - $V_s$ = sheath voltage - $d$ = sheath thickness - $M_i$ = ion mass **Bohm criterion** (ion velocity at sheath edge): $$v_B = \sqrt{\frac{k_B T_e}{M_i}}$$ ### 9.3 Etch Rate Modeling **Ion-enhanced etching**: $$R = R_{\text{chem}} + R_{\text{ion}} = k_n n_{\text{neutral}} + Y \cdot \Gamma_{\text{ion}}$$ Where: - $R_{\text{chem}}$ = chemical (isotropic) component - $R_{\text{ion}}$ = ion-enhanced (directional) component - $Y$ = sputter yield - $\Gamma_{\text{ion}}$ = ion flux **Anisotropy**: $$A = 1 - \frac{R_{\text{lateral}}}{R_{\text{vertical}}}$$ - $A = 0$: Isotropic - $A = 1$: Perfectly anisotropic ### 9.4 Feature-Scale Modeling **Level set equation** for surface evolution: $$\frac{\partial \phi}{\partial t} + F |\nabla \phi| = 0$$ Where: - $\phi(\mathbf{x}, t)$ = level set function - $F$ = local velocity (etch or deposition rate) - Surface defined by $\phi = 0$ ## 10. Lithography ### 10.1 Resolution Limits **Rayleigh criterion**: $$R = k_1 \frac{\lambda}{NA}$$ **Depth of focus**: $$DOF = k_2 \frac{\lambda}{NA^2}$$ Where: - $\lambda$ = wavelength (193 nm DUV, 13.5 nm EUV) - $NA$ = numerical aperture - $k_1$, $k_2$ = process-dependent factors | Technology | λ (nm) | NA | Minimum k₁ | Resolution (nm) | |------------|--------|-----|------------|-----------------| | DUV (ArF) | 193 | 1.35 | 0.25 | ~36 | | EUV | 13.5 | 0.33 | 0.25 | ~10 | | High-NA EUV | 13.5 | 0.55 | 0.25 | ~6 | ### 10.2 Aerial Image Formation **Coherent illumination**: $$I(x,y) = \left| \mathcal{F}^{-1} \left\{ \tilde{M}(f_x, f_y) \cdot H(f_x, f_y) \right\} \right|^2$$ Where: - $\tilde{M}$ = Fourier transform of mask transmission - $H$ = optical transfer function (pupil function) **Partially coherent illumination** (Hopkins formulation): $$I(x,y) = \iint \iint TCC(f_1, g_1, f_2, g_2) \cdot \tilde{M}(f_1, g_1) \cdot \tilde{M}^*(f_2, g_2) \cdot e^{2\pi i [(f_1 - f_2)x + (g_1 - g_2)y]} \, df_1 \, dg_1 \, df_2 \, dg_2$$ Where $TCC$ = transmission cross coefficient ### 10.3 Photoresist Chemistry **Chemically Amplified Resists (CARs)**: **Photoacid generation**: $$\frac{\partial [\text{PAG}]}{\partial t} = -C \cdot I \cdot [\text{PAG}]$$ **Acid diffusion and reaction**: $$\frac{\partial [H^+]}{\partial t} = D_H \nabla^2 [H^+] + k_{\text{gen}} - k_{\text{neut}}[H^+][Q]$$ **Deprotection kinetics**: $$\frac{\partial [M]}{\partial t} = -k_{\text{amp}} [H^+] [M]$$ Where: - $[\text{PAG}]$ = photoacid generator concentration - $[H^+]$ = acid concentration - $[Q]$ = quencher concentration - $[M]$ = protected site concentration ### 10.4 Stochastic Effects in EUV **Photon shot noise**: $$\sigma_N = \sqrt{N}$$ **Line Edge Roughness (LER)**: $$\sigma_{\text{LER}} \propto \frac{1}{\sqrt{\text{dose}}} \propto \frac{1}{\sqrt{N_{\text{photons}}}}$$ **Stochastic defect probability**: $$P_{\text{defect}} = 1 - \exp(-\lambda A)$$ Where $\lambda$ = defect density, $A$ = feature area ## 11. Chemical Mechanical Polishing (CMP) ### 11.1 Preston Equation $$\frac{dh}{dt} = K_p \cdot P \cdot v$$ Where: - $dh/dt$ = material removal rate [nm/s] - $K_p$ = Preston coefficient [nm/(Pa·m)] - $P$ = applied pressure [Pa] - $v$ = relative velocity [m/s] ### 11.2 Contact Mechanics **Greenwood-Williamson model** for asperity contact: $$A_{\text{real}} = \pi n \beta \sigma \int_{d}^{\infty} (z - d) \phi(z) \, dz$$ $$F = \frac{4}{3} n E^* \sqrt{\beta} \int_{d}^{\infty} (z - d)^{3/2} \phi(z) \, dz$$ Where: - $n$ = asperity density - $\beta$ = asperity radius - $\sigma$ = RMS roughness - $\phi(z)$ = height distribution - $E^*$ = effective elastic modulus ### 11.3 Pattern-Dependent Effects **Dishing** (in metal features): $$\Delta h_{\text{dish}} \propto w^2$$ Where $w$ = line width **Erosion** (in dielectric): $$\Delta h_{\text{erosion}} \propto \rho_{\text{metal}}$$ Where $\rho_{\text{metal}}$ = local metal pattern density ## 12. Device Simulation (TCAD) ### 12.1 Poisson Equation $$\nabla \cdot (\epsilon \nabla \psi) = -q(p - n + N_D^+ - N_A^-)$$ Where: - $\psi$ = electrostatic potential [V] - $\epsilon$ = permittivity - $n$, $p$ = electron and hole concentrations - $N_D^+$, $N_A^-$ = ionized donor and acceptor concentrations ### 12.2 Drift-Diffusion Equations **Current densities**: $$\mathbf{J}_n = q \mu_n n \mathbf{E} + q D_n \nabla n$$ $$\mathbf{J}_p = q \mu_p p \mathbf{E} - q D_p \nabla p$$ **Einstein relation**: $$D_n = \frac{k_B T}{q} \mu_n, \quad D_p = \frac{k_B T}{q} \mu_p$$ **Continuity equations**: $$\frac{\partial n}{\partial t} = \frac{1}{q} \nabla \cdot \mathbf{J}_n + G - R$$ $$\frac{\partial p}{\partial t} = -\frac{1}{q} \nabla \cdot \mathbf{J}_p + G - R$$ ### 12.3 Carrier Statistics **Boltzmann approximation**: $$n = N_c \exp\left(\frac{E_F - E_c}{k_B T}\right)$$ $$p = N_v \exp\left(\frac{E_v - E_F}{k_B T}\right)$$ **Fermi-Dirac (degenerate regime)**: $$n = N_c \mathcal{F}_{1/2}\left(\frac{E_F - E_c}{k_B T}\right)$$ Where $\mathcal{F}_{1/2}$ = Fermi-Dirac integral of order 1/2 ### 12.4 Recombination Models **Shockley-Read-Hall (SRH)**: $$R_{\text{SRH}} = \frac{pn - n_i^2}{\tau_p(n + n_1) + \tau_n(p + p_1)}$$ **Auger recombination**: $$R_{\text{Auger}} = (C_n n + C_p p)(pn - n_i^2)$$ **Radiative recombination**: $$R_{\text{rad}} = B(pn - n_i^2)$$ ## 13. Advanced Mathematical Methods ### 13.1 Level Set Methods **Evolution equation**: $$\frac{\partial \phi}{\partial t} + F |\nabla \phi| = 0$$ **Reinitialization** (maintain signed distance function): $$\frac{\partial \phi}{\partial \tau} = \text{sign}(\phi_0)(1 - |\nabla \phi|)$$ **Curvature**: $$\kappa = \nabla \cdot \left( \frac{\nabla \phi}{|\nabla \phi|} \right)$$ ### 13.2 Kinetic Monte Carlo (KMC) **Rate catalog**: $$r_i = \nu_0 \exp\left(-\frac{E_i}{k_B T}\right)$$ **Event selection** (Bortz-Kalos-Lebowitz algorithm): 1. Calculate total rate: $R_{\text{tot}} = \sum_i r_i$ 2. Generate random $u \in (0,1)$ 3. Select event $j$ where $\sum_{i=1}^{j-1} r_i < u \cdot R_{\text{tot}} \leq \sum_{i=1}^{j} r_i$ **Time advancement**: $$\Delta t = -\frac{\ln(u')}{R_{\text{tot}}}$$ ### 13.3 Phase Field Methods **Free energy functional**: $$F[\phi] = \int \left[ f(\phi) + \frac{\epsilon^2}{2} |\nabla \phi|^2 \right] dV$$ **Allen-Cahn equation** (non-conserved order parameter): $$\frac{\partial \phi}{\partial t} = -M \frac{\delta F}{\delta \phi} = M \left[ \epsilon^2 \nabla^2 \phi - f'(\phi) \right]$$ **Cahn-Hilliard equation** (conserved order parameter): $$\frac{\partial \phi}{\partial t} = \nabla \cdot \left( M \nabla \frac{\delta F}{\delta \phi} \right)$$ ### 13.4 Density Functional Theory (DFT) **Kohn-Sham equations**: $$\left[ -\frac{\hbar^2}{2m} \nabla^2 + V_{\text{eff}}(\mathbf{r}) \right] \psi_i(\mathbf{r}) = \epsilon_i \psi_i(\mathbf{r})$$ **Effective potential**: $$V_{\text{eff}}(\mathbf{r}) = V_{\text{ext}}(\mathbf{r}) + V_H(\mathbf{r}) + V_{xc}(\mathbf{r})$$ Where: - $V_{\text{ext}}$ = external (ionic) potential - $V_H = e^2 \int \frac{n(\mathbf{r}')}{|\mathbf{r} - \mathbf{r}'|} d\mathbf{r}'$ = Hartree potential - $V_{xc} = \frac{\delta E_{xc}[n]}{\delta n}$ = exchange-correlation potential **Electron density**: $$n(\mathbf{r}) = \sum_i f_i |\psi_i(\mathbf{r})|^2$$ ## 14. Current Frontiers ### 14.1 Extreme Ultraviolet (EUV) Lithography - **Challenges**: - Stochastic effects at low photon counts - Mask defectivity and pellicle development - Resist trade-offs (sensitivity vs. resolution vs. LER) - Source power and productivity - **High-NA EUV**: - NA = 0.55 (vs. 0.33 current) - Anamorphic optics (4× magnification in one direction) - Sub-8nm half-pitch capability ### 14.2 3D Integration - **Through-Silicon Vias (TSVs)**: - Via-first, via-middle, via-last approaches - Cu filling and barrier requirements - Thermal-mechanical stress modeling - **Hybrid Bonding**: - Cu-Cu direct bonding - Sub-micron alignment requirements - Surface preparation and activation ### 14.3 New Materials - **2D Materials**: - Graphene (zero bandgap) - Transition metal dichalcogenides (MoS₂, WS₂, WSe₂) - Hexagonal boron nitride (hBN) - **Wide Bandgap Semiconductors**: - GaN: $E_g = 3.4$ eV - SiC: $E_g = 3.3$ eV (4H-SiC) - Ga₂O₃: $E_g = 4.8$ eV ### 14.4 Novel Device Architectures - **Gate-All-Around (GAA) FETs**: - Nanosheet and nanowire channels - Superior electrostatic control - Samsung 3nm, Intel 20A/18A - **Complementary FET (CFET)**: - Vertically stacked NMOS/PMOS - Reduced footprint - Complex fabrication - **Backside Power Delivery (BSPD)**: - Power rails on wafer backside - Reduced IR drop - Intel PowerVia ### 14.5 Machine Learning in Semiconductor Manufacturing - **Virtual Metrology**: Predict wafer properties from tool sensor data - **Defect Detection**: CNN-based wafer map classification - **Process Optimization**: Bayesian optimization, reinforcement learning - **Surrogate Models**: Neural networks replacing expensive simulations - **OPC (Optical Proximity Correction)**: ML-accelerated mask design ## Physical Constants | Constant | Symbol | Value | |----------|--------|-------| | Boltzmann constant | $k_B$ | $1.381 \times 10^{-23}$ J/K | | Elementary charge | $e$ | $1.602 \times 10^{-19}$ C | | Planck constant | $h$ | $6.626 \times 10^{-34}$ J·s | | Electron mass | $m_e$ | $9.109 \times 10^{-31}$ kg | | Permittivity of free space | $\epsilon_0$ | $8.854 \times 10^{-12}$ F/m | | Avogadro's number | $N_A$ | $6.022 \times 10^{23}$ mol⁻¹ | | Thermal voltage (300K) | $k_B T/q$ | 25.85 mV | ## Multiscale Modeling Hierarchy | Level | Method | Length Scale | Time Scale | Application | |-------|--------|--------------|------------|-------------| | 1 | Ab initio (DFT) | Å | fs | Reaction mechanisms, band structure | | 2 | Molecular Dynamics | nm | ps-ns | Defect dynamics, interfaces | | 3 | Kinetic Monte Carlo | nm-μm | ns-s | Growth, etching, diffusion | | 4 | Continuum (PDE) | μm-mm | s-hr | Process simulation (TCAD) | | 5 | Compact Models | Device | — | Circuit simulation | | 6 | Statistical | Die/Wafer | — | Yield prediction |