← Back to AI Factory Chat

AI Factory Glossary

513 technical terms and definitions

A B C D E F G H I J K L M N O P Q R S T U V W X Y Z All
Showing page 7 of 11 (513 entries)

api calling, api, tool use

Invoke external APIs.

api design,rest,grpc,interfaces

I can help you design clear APIs: endpoints, payloads, error formats, and versioning strategies for REST or gRPC services.

api docs,generate,openapi

Generate API documentation. OpenAPI specs from code.

api documentation generation, api, code ai

Auto-generate API docs from code.

api gateway,software engineering

Single entry point routing requests to services.

api integration, api, prompting techniques

API integration enables models to retrieve information or perform actions through web services.

api key management,security

Securely store and rotate access keys.

api learning,ai agent

Train models to understand and use external APIs.

api rate limit,throttle,quota

Rate limits protect APIs from abuse. Track tokens/requests per minute. Return 429 errors when exceeded. Use queuing for spikes.

api sequence generation,code ai

Generate correct sequences of API calls.

api-bank, evaluation

Evaluation dataset for API use.

appraisal costs, quality

Cost of inspection and testing.

appropriate refusals, ai safety

Decline genuinely harmful requests.

approximate bayesian computation (abc),approximate bayesian computation,abc,statistics

Simulation-based inference.

approximate computing, design

Trade accuracy for efficiency.

approximate computing, model optimization

Approximate computing trades accuracy for efficiency using lower-precision or simplified operations.

approximate nearest neighbors, ann, rag

Efficient similarity search.

apqp, apqp, quality & reliability

Advanced Product Quality Planning structures product development ensuring quality and customer satisfaction.

aql, aql, quality & reliability

Acceptable Quality Level defines maximum defect rate considered acceptable for lot acceptance.

aqua-rat, evaluation

Algebra questions with rationales.

ar-lsat, ar-lsat, evaluation

Law school admission test.

arbitrary style transfer,computer vision

Transfer any style without retraining.

arc-eager, structured prediction

Arc-eager is a transition system that builds dependencies eagerly allowing left arcs to be added immediately upon recognition.

arc-standard, structured prediction

Arc-standard is a transition system for dependency parsing using shift reduce and arc operations to construct dependency trees incrementally.

arc, arc, evaluation

AI2 Reasoning Challenge tests scientific reasoning and knowledge.

architecture crossover, neural architecture search

Architecture crossover combines parent architectures by exchanging substructures creating offspring networks.

architecture encoding, neural architecture search

Architecture encoding represents network structures as vectors graphs or sequences enabling architecture optimization.

architecture generation,content creation

Design buildings and structures.

architecture mutation, neural architecture search

Architecture mutations in evolutionary NAS modify network structures through operations like adding layers or changing connections.

architecture,design,system

AI assists architecture design. Suggest patterns, trade-offs.

arde (aspect ratio dependent etch),arde,aspect ratio dependent etch,etch

Etch rate varies with aspect ratio as mentioned earlier.

area ratio, manufacturing

Aperture area to sidewall area.

area scaling of mismatch, device physics

Mismatch decreases with device area.

arf (argon fluoride),arf,argon fluoride,lithography

193nm DUV light source.

argilla,feedback,annotation

Argilla combines annotation and feedback. Human-in-the-loop. Open source.

argmax flows, generative models

Invertible functions for discrete data.

argument mining,nlp

Extract arguments from text.

arima modeling, arima, statistics

Time series forecasting.

arima process, arima, manufacturing operations

ARIMA models capture autocorrelated process behavior for forecasting and control.

arima, arima, time series models

AutoRegressive Integrated Moving Average models time series through differencing and combining autoregression with moving average components.

arithmetic intensity, optimization

Operations per byte ratio.

arrhenius equation, business & standards

Arrhenius equation models temperature acceleration using activation energy.

arrhenius equation,reliability

Model temperature acceleration of failures.

arrow,memory,columnar

Apache Arrow is in-memory columnar format. Zero-copy reads. Interoperability standard.

as9100,quality

Aerospace quality standard.

asam, asam, optimization

Improved version of SAM.

asdf,version,manager

asdf is universal version manager. Python, Node, Ruby, etc.

asic,application specific

ASIC = Application-Specific Integrated Circuit. Custom chip designed for one purpose. Maximum efficiency but expensive to develop.

asml, euv machine, lithography equipment, scanner, extreme ultraviolet, semiconductor manufacturing, wafer processing

# EUV Lithography ## EUV ## 1. Introduction to EUV ### Market - 100% market share in EUV lithography by top EUV vendor - ~90% market share in advanced DUV lithography - Critical supplier to all leading-edge semiconductor fabs ## 2. Lithography Fundamentals ### The Rayleigh Criterion The fundamental resolution limit in optical lithography is governed by the **Rayleigh Criterion**: $$ R = k_1 \cdot \frac{\lambda}{NA} $$ Where: - $R$ = minimum resolvable feature size (half-pitch) - $k_1$ = process-dependent factor (theoretical minimum: 0.25) - $\lambda$ = wavelength of light - $NA$ = numerical aperture of the optical system ### Depth of Focus (DOF) The depth of focus determines process tolerance: $$ DOF = k_2 \cdot \frac{\lambda}{NA^2} $$ Where: - $DOF$ = depth of focus - $k_2$ = process-dependent constant - $\lambda$ = wavelength - $NA$ = numerical aperture ### Resolution Enhancement Techniques (RET) 1. **Optical Proximity Correction (OPC)** - Sub-resolution assist features (SRAFs) - Serif additions/subtractions - Line-end extensions 2. **Phase-Shift Masks (PSM)** - Alternating PSM - Attenuated PSM - Phase difference: $\Delta\phi = \pi$ (180°) 3. **Multiple Patterning** - LELE (Litho-Etch-Litho-Etch) - SADP (Self-Aligned Double Patterning) - SAQP (Self-Aligned Quadruple Patterning) ## 3. EUV Technology ### Wavelength Comparison | Technology | Wavelength ($\lambda$) | Relative Resolution | |------------|------------------------|---------------------| | i-line | 365 nm | 1.00× | | KrF DUV | 248 nm | 1.47× | | ArF DUV | 193 nm | 1.89× | | ArF Immersion | 193 nm (effective ~134 nm) | 2.72× | | **EUV** | **13.5 nm** | **27.04×** | ### EUV Light Generation Process The **Laser-Produced Plasma (LPP)** source generates EUV light: 1. **Tin Droplet Generation** - Droplet diameter: $\approx 25 \, \mu m$ - Droplet velocity: $v \approx 70 \, m/s$ - Droplet frequency: $f = 50,000 \, Hz$ 2. **Pre-Pulse Laser** - Flattens the tin droplet into a pancake shape - Increases target cross-section 3. **Main Pulse Laser** - CO₂ laser power: $P \approx 20-30 \, kW$ - Creates plasma at temperature: $T \approx 500,000 \, K$ - Plasma emits EUV at $\lambda = 13.5 \, nm$ 4. **Conversion Efficiency** $$ \eta_{CE} = \frac{P_{EUV}}{P_{laser}} \approx 5-6\% $$ ### Optical Since EUV is absorbed by all materials, the system uses **reflective optics**: - **Mirror Material:** Multi-layer Mo/Si (Molybdenum/Silicon) - **Layer Thickness:** $$ d = \frac{\lambda}{2} \approx 6.75 \, nm $$ - **Number of Layer Pairs:** ~40-50 - **Peak Reflectivity:** $R \approx 67-70\%$ - **Total Optical Path Reflectivity:** $$ R_{total} = R^n \approx (0.67)^{11} \approx 1.2\% $$ ### EUV Mask Structure ``` - ┌─────────────────────────────────────┐ │ Absorber (TaN/TaBN) │ ← Pattern layer (~60-80 nm) ├─────────────────────────────────────┤ │ Capping Layer (Ru) │ ← Protective layer (~2.5 nm) ├─────────────────────────────────────┤ │ Multi-Layer Mirror (Mo/Si) │ ← 40-50 bilayer pairs │ ~~~~~~~~~~~~~~~~~~~~~~~~ │ │ ~~~~~~~~~~~~~~~~~~~~~~~~ │ ├─────────────────────────────────────┤ │ Low Thermal Expansion │ ← Substrate │ Material (LTEM) │ └─────────────────────────────────────┘ ``` ## 4. Scanner Systems ### Scanner vs. Stepper | Parameter | Stepper | Scanner | |-----------|---------|---------| | Exposure Method | Full-field | Slit scanning | | Field Size | Limited by lens | Larger effective field | | Throughput | Lower | Higher | | Overlay Control | Good | Excellent | ### Scanning Mechanism The wafer and reticle move in opposite directions during exposure: $$ v_{wafer} = \frac{v_{reticle}}{M} $$ Where: - $v_{wafer}$ = wafer stage velocity - $v_{reticle}$ = reticle stage velocity - $M$ = demagnification factor (typically 4×) ### Stage Positioning Accuracy - **Overlay Requirement:** $$ \sigma_{overlay} < \frac{CD}{4} \approx 1-2 \, nm $$ - **Stage Position Accuracy:** $$ \Delta x, \Delta y < 0.5 \, nm $$ - **Stage Velocity:** $$ v_{stage} \approx 2 \, m/s $$ ## 5. Specifications ### NXE:3600D Current EUV - **Numerical Aperture:** $NA = 0.33$ - **Wavelength:** $\lambda = 13.5 \, nm$ - **Resolution:** $$ R_{min} = k_1 \cdot \frac{13.5}{0.33} = k_1 \cdot 40.9 \, nm $$ With $k_1 = 0.3$: $R_{min} \approx 13 \, nm$ - **Throughput:** $> 160$ wafers per hour (WPH) - **Overlay:** $< 1.4 \, nm$ (machine-to-machine) - **Source Power:** $> 250 \, W$ at intermediate focus - **Cost:** ~€150-200 million ### TWINSCAN EXE:5000 High-NA EUV - **Numerical Aperture:** $NA = 0.55$ - **Wavelength:** $\lambda = 13.5 \, nm$ - **Resolution:** $$ R_{min} = k_1 \cdot \frac{13.5}{0.55} = k_1 \cdot 24.5 \, nm $$ With $k_1 = 0.3$: $R_{min} \approx 8 \, nm$ - **Resolution Improvement:** $$ \frac{R_{0.33}}{R_{0.55}} = \frac{0.55}{0.33} = 1.67\times $$ - **Anamorphic Optics:** 4× reduction in X, 8× reduction in Y - **Cost:** ~€350+ million - **Weight:** ~250 tons ### Throughput Calculation Wafers per hour (WPH) depends on: $$ WPH = \frac{3600}{t_{expose} + t_{move} + t_{align} + t_{overhead}} $$ Where typical values are: - $t_{expose}$ = exposure time per die - $t_{move}$ = stage movement time - $t_{align}$ = alignment time - $t_{overhead}$ = wafer load/unload time ## 6. Geopolitical Context ### Technology Nodes | Company | Node | EUV Layers | |---------|------|------------| | TSMC | N3 | ~20-25 | | TSMC | N2 | ~25-30 | | Samsung | 3GAE | ~20+ | | Intel | Intel 4 | ~5-10 | | Intel | Intel 18A | ~20+ | ### Economic Impact - **EUV System Cost:** $150-350M per tool - **Annual Revenue (ASML 2023):** ~€27.6 billion - **R&D Investment:** ~€4 billion annually - **Backlog:** >€40 billion ## Mathematical ### Equations | Equation | Formula | Application | |----------|---------|-------------| | Rayleigh Resolution | $R = k_1 \frac{\lambda}{NA}$ | Feature size limit | | Depth of Focus | $DOF = k_2 \frac{\lambda}{NA^2}$ | Process window | | Bragg Reflection | $2d\sin\theta = n\lambda$ | Mirror design | | Conversion Efficiency | $\eta = \frac{P_{out}}{P_{in}}$ | Source efficiency | | Throughput | $WPH = \frac{3600}{\sum t_i}$ | Productivity | ### Node Roadmap with Resolution | Node | Half-Pitch | EUV Layers | Year | |------|------------|------------|------| | 7nm | ~36 nm | 5-10 | 2018 | | 5nm | ~27 nm | 10-15 | 2020 | | 3nm | ~21 nm | 20-25 | 2022 | | 2nm | ~15 nm | 25-30 | 2025 | | A14 | ~10 nm | High-NA | 2027+| ## Physical Constants | Constant | Symbol | Value | |----------|--------|-------| | EUV Wavelength | $\lambda_{EUV}$ | $13.5 \, nm$ | | Speed of Light | $c$ | $3 \times 10^8 \, m/s$ | | Planck's Constant | $h$ | $6.626 \times 10^{-34} \, J \cdot s$ | | EUV Photon Energy | $E_{EUV}$ | $91.8 \, eV$ | Photon energy calculation: $$ E = \frac{hc}{\lambda} = \frac{(6.626 \times 10^{-34})(3 \times 10^8)}{13.5 \times 10^{-9}} = 1.47 \times 10^{-17} \, J = 91.8 \, eV $$

asml, euv machine, lithography equipment, scanner, extreme ultraviolet, semiconductor manufacturing, wafer processing

# EUV Lithography ## EUV ## 1. Introduction to EUV ### Market - 100% market share in EUV lithography by top EUV vendor - ~90% market share in advanced DUV lithography - Critical supplier to all leading-edge semiconductor fabs ## 2. Lithography Fundamentals ### The Rayleigh Criterion The fundamental resolution limit in optical lithography is governed by the **Rayleigh Criterion**: $$ R = k_1 \cdot \frac{\lambda}{NA} $$ Where: - $R$ = minimum resolvable feature size (half-pitch) - $k_1$ = process-dependent factor (theoretical minimum: 0.25) - $\lambda$ = wavelength of light - $NA$ = numerical aperture of the optical system ### Depth of Focus (DOF) The depth of focus determines process tolerance: $$ DOF = k_2 \cdot \frac{\lambda}{NA^2} $$ Where: - $DOF$ = depth of focus - $k_2$ = process-dependent constant - $\lambda$ = wavelength - $NA$ = numerical aperture ### Resolution Enhancement Techniques (RET) 1. **Optical Proximity Correction (OPC)** - Sub-resolution assist features (SRAFs) - Serif additions/subtractions - Line-end extensions 2. **Phase-Shift Masks (PSM)** - Alternating PSM - Attenuated PSM - Phase difference: $\Delta\phi = \pi$ (180°) 3. **Multiple Patterning** - LELE (Litho-Etch-Litho-Etch) - SADP (Self-Aligned Double Patterning) - SAQP (Self-Aligned Quadruple Patterning) ## 3. EUV Technology ### Wavelength Comparison | Technology | Wavelength ($\lambda$) | Relative Resolution | |------------|------------------------|---------------------| | i-line | 365 nm | 1.00× | | KrF DUV | 248 nm | 1.47× | | ArF DUV | 193 nm | 1.89× | | ArF Immersion | 193 nm (effective ~134 nm) | 2.72× | | **EUV** | **13.5 nm** | **27.04×** | ### EUV Light Generation Process The **Laser-Produced Plasma (LPP)** source generates EUV light: 1. **Tin Droplet Generation** - Droplet diameter: $\approx 25 \, \mu m$ - Droplet velocity: $v \approx 70 \, m/s$ - Droplet frequency: $f = 50,000 \, Hz$ 2. **Pre-Pulse Laser** - Flattens the tin droplet into a pancake shape - Increases target cross-section 3. **Main Pulse Laser** - CO₂ laser power: $P \approx 20-30 \, kW$ - Creates plasma at temperature: $T \approx 500,000 \, K$ - Plasma emits EUV at $\lambda = 13.5 \, nm$ 4. **Conversion Efficiency** $$ \eta_{CE} = \frac{P_{EUV}}{P_{laser}} \approx 5-6\% $$ ### Optical Since EUV is absorbed by all materials, the system uses **reflective optics**: - **Mirror Material:** Multi-layer Mo/Si (Molybdenum/Silicon) - **Layer Thickness:** $$ d = \frac{\lambda}{2} \approx 6.75 \, nm $$ - **Number of Layer Pairs:** ~40-50 - **Peak Reflectivity:** $R \approx 67-70\%$ - **Total Optical Path Reflectivity:** $$ R_{total} = R^n \approx (0.67)^{11} \approx 1.2\% $$ ### EUV Mask Structure ``` - ┌─────────────────────────────────────┐ │ Absorber (TaN/TaBN) │ ← Pattern layer (~60-80 nm) ├─────────────────────────────────────┤ │ Capping Layer (Ru) │ ← Protective layer (~2.5 nm) ├─────────────────────────────────────┤ │ Multi-Layer Mirror (Mo/Si) │ ← 40-50 bilayer pairs │ ~~~~~~~~~~~~~~~~~~~~~~~~ │ │ ~~~~~~~~~~~~~~~~~~~~~~~~ │ ├─────────────────────────────────────┤ │ Low Thermal Expansion │ ← Substrate │ Material (LTEM) │ └─────────────────────────────────────┘ ``` ## 4. Scanner Systems ### Scanner vs. Stepper | Parameter | Stepper | Scanner | |-----------|---------|---------| | Exposure Method | Full-field | Slit scanning | | Field Size | Limited by lens | Larger effective field | | Throughput | Lower | Higher | | Overlay Control | Good | Excellent | ### Scanning Mechanism The wafer and reticle move in opposite directions during exposure: $$ v_{wafer} = \frac{v_{reticle}}{M} $$ Where: - $v_{wafer}$ = wafer stage velocity - $v_{reticle}$ = reticle stage velocity - $M$ = demagnification factor (typically 4×) ### Stage Positioning Accuracy - **Overlay Requirement:** $$ \sigma_{overlay} < \frac{CD}{4} \approx 1-2 \, nm $$ - **Stage Position Accuracy:** $$ \Delta x, \Delta y < 0.5 \, nm $$ - **Stage Velocity:** $$ v_{stage} \approx 2 \, m/s $$ ## 5. Specifications ### NXE:3600D Current EUV - **Numerical Aperture:** $NA = 0.33$ - **Wavelength:** $\lambda = 13.5 \, nm$ - **Resolution:** $$ R_{min} = k_1 \cdot \frac{13.5}{0.33} = k_1 \cdot 40.9 \, nm $$ With $k_1 = 0.3$: $R_{min} \approx 13 \, nm$ - **Throughput:** $> 160$ wafers per hour (WPH) - **Overlay:** $< 1.4 \, nm$ (machine-to-machine) - **Source Power:** $> 250 \, W$ at intermediate focus - **Cost:** ~€150-200 million ### TWINSCAN EXE:5000 High-NA EUV - **Numerical Aperture:** $NA = 0.55$ - **Wavelength:** $\lambda = 13.5 \, nm$ - **Resolution:** $$ R_{min} = k_1 \cdot \frac{13.5}{0.55} = k_1 \cdot 24.5 \, nm $$ With $k_1 = 0.3$: $R_{min} \approx 8 \, nm$ - **Resolution Improvement:** $$ \frac{R_{0.33}}{R_{0.55}} = \frac{0.55}{0.33} = 1.67\times $$ - **Anamorphic Optics:** 4× reduction in X, 8× reduction in Y - **Cost:** ~€350+ million - **Weight:** ~250 tons ### Throughput Calculation Wafers per hour (WPH) depends on: $$ WPH = \frac{3600}{t_{expose} + t_{move} + t_{align} + t_{overhead}} $$ Where typical values are: - $t_{expose}$ = exposure time per die - $t_{move}$ = stage movement time - $t_{align}$ = alignment time - $t_{overhead}$ = wafer load/unload time ## 6. Geopolitical Context ### Technology Nodes | Company | Node | EUV Layers | |---------|------|------------| | TSMC | N3 | ~20-25 | | TSMC | N2 | ~25-30 | | Samsung | 3GAE | ~20+ | | Intel | Intel 4 | ~5-10 | | Intel | Intel 18A | ~20+ | ### Economic Impact - **EUV System Cost:** $150-350M per tool - **Annual Revenue (ASML 2023):** ~€27.6 billion - **R&D Investment:** ~€4 billion annually - **Backlog:** >€40 billion ## Mathematical ### Equations | Equation | Formula | Application | |----------|---------|-------------| | Rayleigh Resolution | $R = k_1 \frac{\lambda}{NA}$ | Feature size limit | | Depth of Focus | $DOF = k_2 \frac{\lambda}{NA^2}$ | Process window | | Bragg Reflection | $2d\sin\theta = n\lambda$ | Mirror design | | Conversion Efficiency | $\eta = \frac{P_{out}}{P_{in}}$ | Source efficiency | | Throughput | $WPH = \frac{3600}{\sum t_i}$ | Productivity | ### Node Roadmap with Resolution | Node | Half-Pitch | EUV Layers | Year | |------|------------|------------|------| | 7nm | ~36 nm | 5-10 | 2018 | | 5nm | ~27 nm | 10-15 | 2020 | | 3nm | ~21 nm | 20-25 | 2022 | | 2nm | ~15 nm | 25-30 | 2025 | | A14 | ~10 nm | High-NA | 2027+| ## Physical Constants | Constant | Symbol | Value | |----------|--------|-------| | EUV Wavelength | $\lambda_{EUV}$ | $13.5 \, nm$ | | Speed of Light | $c$ | $3 \times 10^8 \, m/s$ | | Planck's Constant | $h$ | $6.626 \times 10^{-34} \, J \cdot s$ | | EUV Photon Energy | $E_{EUV}$ | $91.8 \, eV$ | Photon energy calculation: $$ E = \frac{hc}{\lambda} = \frac{(6.626 \times 10^{-34})(3 \times 10^8)}{13.5 \times 10^{-9}} = 1.47 \times 10^{-17} \, J = 91.8 \, eV $$