← Back to AI Factory Chat

AI Factory Glossary

422 technical terms and definitions

A B C D E F G H I J K L M N O P Q R S T U V W X Y Z All
Showing page 6 of 9 (422 entries)

equipment failure, production

Breakdowns causing downtime.

equipment history, manufacturing operations

Equipment history records maintenance activities failures and performance enabling trend analysis.

equipment matching, manufacturing operations

Equipment matching assigns compatible tools to process steps ensuring consistency.

equipment reliability metrics, production

Measure tool performance.

equipment specifications, production

Performance requirements.

equipment utilization,production

Percentage of time tool is productive.

equipment-to-equipment variation, manufacturing

Differences between tools.

equivalency testing, quality

Verify alternate material performs same.

equivariance testing, explainable ai

Verify equivariance properties.

equivariant diffusion for molecules, chemistry ai

3D-aware molecular generation.

equivariant neural networks, scientific ml

Outputs transform correctly under symmetries.

erasure search, interpretability

Erasure search finds minimal input spans whose removal maximally changes predictions.

erosion,cmp

Thinning of dielectric in dense pattern areas.

erp system, erp, supply chain & logistics

Enterprise Resource Planning integrates business processes including procurement production inventory finance and human resources.

error budget,reliability,spend

Error budget = allowed failures. Spend on features when reliable. Slow down if budget exhausted.

error correction overhead, design

Cost of error detection/correction.

error detection, ai agents

Error detection identifies failures or suboptimal actions during execution.

error feedback in compressed communication, distributed training

Accumulate compression errors.

error handling,fallback,recover

Handle errors gracefully: API failures, invalid input, model confusion. Fallback responses.

error handling,software engineering

Manage failures and exceptions gracefully.

error propagation,uncertainty propagation,variance decomposition,yield mathematics,overlay error,EPE,process capability,monte carlo

# Semiconductor Manufacturing Error Propagation Mathematics ## 1. Fundamental Error Propagation Theory For a function $f(x_1, x_2, \ldots, x_n)$ where each variable $x_i$ has uncertainty $\sigma_i$, the propagated uncertainty follows: $$ \sigma_f^2 = \sum_{i=1}^{n} \left( \frac{\partial f}{\partial x_i} \right)^2 \sigma_i^2 + 2 \sum_{i < j} \frac{\partial f}{\partial x_i} \frac{\partial f}{\partial x_j} \, \text{cov}(x_i, x_j) $$ For **uncorrelated errors**, this simplifies to the **Root-Sum-of-Squares (RSS)** formula: $$ \sigma_f = \sqrt{\sum_{i=1}^{n} \left( \frac{\partial f}{\partial x_i} \right)^2 \sigma_i^2} $$ ### Applications in Semiconductor Manufacturing - **Critical Dimension (CD) variations**: Feature size deviations from target - **Overlay errors**: Misalignment between lithography layers - **Film thickness variations**: Deposition uniformity issues - **Doping concentration variations**: Implant dose and energy fluctuations ## 2. Process Chain Error Accumulation Semiconductor manufacturing involves hundreds of sequential process steps. Errors propagate through the chain in different modes: ### 2.1 Additive Error Accumulation Used for overlay alignment between layers: $$ E_{\text{total}} = \sum_{i=1}^{n} \varepsilon_i $$ $$ \sigma_{\text{total}}^2 = \sum_{i=1}^{n} \sigma_i^2 \quad \text{(if uncorrelated)} $$ ### 2.2 Multiplicative Error Accumulation Used for etch selectivity, deposition rates, and gain factors: $$ G_{\text{total}} = \prod_{i=1}^{n} G_i $$ $$ \frac{\sigma_G}{G} \approx \sqrt{\sum_{i=1}^{n} \left( \frac{\sigma_{G_i}}{G_i} \right)^2} $$ ### 2.3 Error Accumulation Modes - **Additive**: Errors sum directly (overlay, thickness) - **Multiplicative**: Errors compound through products (gain, selectivity) - **Compensating**: Rare cases where errors cancel - **Nonlinear interactions**: Complex dependencies requiring simulation ## 3. Hierarchical Variance Decomposition Total variation decomposes across spatial and temporal hierarchies: $$ \sigma_{\text{total}}^2 = \sigma_{\text{lot}}^2 + \sigma_{\text{wafer}}^2 + \sigma_{\text{die}}^2 + \sigma_{\text{within-die}}^2 $$ ### Variance Sources by Level | Level | Sources | |-------|---------| | **Lot-to-lot** | Incoming material, chamber conditioning, recipe drift | | **Wafer-to-wafer** | Slot position, thermal gradients, handling | | **Die-to-die** | Across-wafer uniformity, lens field distortion | | **Within-die** | Pattern density, microloading, proximity effects | ### Variance Component Analysis For $N$ measurements $y_{ijk}$ (lot $i$, wafer $j$, site $k$): $$ y_{ijk} = \mu + L_i + W_{ij} + \varepsilon_{ijk} $$ Where: - $\mu$ = grand mean - $L_i \sim N(0, \sigma_L^2)$ = lot effect - $W_{ij} \sim N(0, \sigma_W^2)$ = wafer effect - $\varepsilon_{ijk} \sim N(0, \sigma_\varepsilon^2)$ = residual ## 4. Yield Mathematics ### 4.1 Poisson Defect Model (Random Defects) $$ Y = e^{-D_0 A} $$ Where: - $D_0$ = defect density (defects/cm²) - $A$ = die area (cm²) ### 4.2 Negative Binomial Model (Clustered Defects) More realistic for actual manufacturing: $$ Y = \left( 1 + \frac{D_0 A}{\alpha} \right)^{-\alpha} $$ Where: - $\alpha$ = clustering parameter - $\alpha \to \infty$ recovers Poisson model - Smaller $\alpha$ = more clustering ### 4.3 Total Yield $$ Y_{\text{total}} = Y_{\text{defect}} \times Y_{\text{parametric}} $$ ### 4.4 Parametric Yield Integration over the multi-dimensional acceptable parameter space: $$ Y_{\text{parametric}} = \int \int \cdots \int_{\text{spec}} f(p_1, p_2, \ldots, p_n) \, dp_1 \, dp_2 \cdots dp_n $$ For Gaussian parameters with specs at $\pm k\sigma$: $$ Y_{\text{parametric}} \approx \left[ \text{erf}\left( \frac{k}{\sqrt{2}} \right) \right]^n $$ ## 5. Edge Placement Error (EPE) Critical metric at advanced nodes combining multiple error sources: $$ EPE^2 = \left( \frac{\Delta CD}{2} \right)^2 + OVL^2 + \left( \frac{LER}{2} \right)^2 $$ ### EPE Components - $\Delta CD$ = Critical dimension error - $OVL$ = Overlay error - $LER$ = Line edge roughness ### Extended EPE Model Including additional terms: $$ EPE^2 = \left( \frac{\Delta CD}{2} \right)^2 + OVL^2 + \left( \frac{LER}{2} \right)^2 + \sigma_{\text{mask}}^2 + \sigma_{\text{etch}}^2 $$ ## 6. Overlay Error Modeling Overlay at any point $(x, y)$ is modeled as: $$ OVL(x, y) = \vec{T} + R\theta + M \cdot \vec{r} + \text{HOT} $$ ### Overlay Components - $\vec{T} = (T_x, T_y)$ = Translation - $R\theta$ = Rotation - $M$ = Magnification - $\text{HOT}$ = Higher-Order Terms (lens distortions, wafer non-flatness) ### Overlay Budget (RSS) $$ OVL_{\text{budget}}^2 = OVL_{\text{tool}}^2 + OVL_{\text{process}}^2 + OVL_{\text{wafer}}^2 + OVL_{\text{mask}}^2 $$ ### 10-Parameter Overlay Model $$ \begin{aligned} dx &= T_x + R_x \cdot y + M_x \cdot x + N_x \cdot x \cdot y + \ldots \\ dy &= T_y + R_y \cdot x + M_y \cdot y + N_y \cdot x \cdot y + \ldots \end{aligned} $$ ## 7. Stochastic Effects in EUV Lithography At EUV wavelengths (13.5 nm), photon shot noise becomes fundamental. ### Photon Statistics Photons per pixel follow Poisson distribution: $$ N \sim \text{Poisson}(\bar{N}) $$ $$ \sigma_N = \sqrt{\bar{N}} $$ ### Relative Dose Fluctuation $$ \frac{\sigma_N}{\bar{N}} = \frac{1}{\sqrt{\bar{N}}} $$ ### Stochastic Failure Probability $$ P_{\text{fail}} \propto \exp\left( -\frac{E}{E_{\text{threshold}}} \right) $$ ### RLS Triangle Trade-off - **R**esolution - **L**ine edge roughness (LER) - **S**ensitivity (dose) $$ LER \propto \frac{1}{\sqrt{\text{Dose}}} \propto \frac{1}{\sqrt{N_{\text{photons}}}} $$ ## 8. Spatial Correlation Modeling Errors are spatially correlated. Modeled using variograms or correlation functions. ### Variogram $$ \gamma(h) = \frac{1}{2} E\left[ (Z(x+h) - Z(x))^2 \right] $$ ### Correlation Function $$ \rho(h) = \frac{\text{cov}(Z(x+h), Z(x))}{\text{var}(Z(x))} $$ ### Common Correlation Models | Model | Formula | |-------|---------| | **Exponential** | $\rho(h) = \exp\left( -\frac{h}{\lambda} \right)$ | | **Gaussian** | $\rho(h) = \exp\left( -\left( \frac{h}{\lambda} \right)^2 \right)$ | | **Spherical** | $\rho(h) = 1 - \frac{3h}{2\lambda} + \frac{h^3}{2\lambda^3}$ for $h \leq \lambda$ | ### Implications - Nearby devices are more correlated → better matching for analog - Correlation length $\lambda$ determines effective samples per die - Extreme values are less severe than independent variation suggests ## 9. Process Capability and Tail Statistics ### Process Capability Index $$ C_{pk} = \min \left[ \frac{USL - \mu}{3\sigma}, \frac{\mu - LSL}{3\sigma} \right] $$ ### Defect Rates vs. Cpk (Gaussian) | $C_{pk}$ | PPM Outside Spec | Sigma Level | |----------|------------------|-------------| | 1.00 | ~2,700 | 3σ | | 1.33 | ~63 | 4σ | | 1.67 | ~0.6 | 5σ | | 2.00 | ~0.002 | 6σ | ### Extreme Value Statistics For $n$ independent samples from distribution $F(x)$, the maximum follows: $$ P(M_n \leq x) = [F(x)]^n $$ For large $n$, converges to Generalized Extreme Value (GEV): $$ G(x) = \exp\left\{ -\left[ 1 + \xi \left( \frac{x - \mu}{\sigma} \right) \right]^{-1/\xi} \right\} $$ ### Critical Insight For a chip with $10^{10}$ transistors: $$ P_{\text{chip fail}} = 1 - (1 - P_{\text{transistor fail}})^{10^{10}} \approx 10^{10} \cdot P_{\text{transistor fail}} $$ Even $P_{\text{transistor fail}} = 10^{-11}$ matters! ## 10. Sensitivity Analysis and Error Attribution ### Sensitivity Coefficient $$ S_i = \frac{\partial Y}{\partial \sigma_i} \times \frac{\sigma_i}{Y} $$ ### Variance Contribution $$ \text{Contribution}_i = \frac{\left( \frac{\partial f}{\partial x_i} \right)^2 \sigma_i^2}{\sigma_f^2} \times 100\% $$ ### Bayesian Root Cause Attribution $$ P(\text{cause} \mid \text{observation}) = \frac{P(\text{observation} \mid \text{cause}) \cdot P(\text{cause})}{P(\text{observation})} $$ ### Pareto Analysis Steps 1. Compute variance contribution from each source 2. Rank sources by contribution 3. Focus improvement on top contributors 4. Verify improvement with updated measurements ## 11. Monte Carlo Simulation Methods Due to complexity and nonlinearity, Monte Carlo methods are essential. ### Algorithm ``` FOR i = 1 to N_samples: 1. Sample process parameters: p_i ~ distributions 2. Simulate device/circuit: y_i = f(p_i) 3. Store result: Y[i] = y_i END FOR Compute statistics from Y[] ``` ### Key Advantages - Captures non-Gaussian behavior - Handles nonlinear transfer functions - Reveals correlations between outputs - Provides full distribution, not just moments ### Sample Size Requirements For estimating probability $p$ of rare events: $$ N \geq \frac{1 - p}{p \cdot \varepsilon^2} $$ Where $\varepsilon$ is the desired relative error. For $p = 10^{-6}$ with 10% error: $N \approx 10^8$ samples ## 12. Design-Technology Co-Optimization (DTCO) Error propagation feeds back into design rules: $$ \text{Design Margin} = k \times \sigma_{\text{total}} $$ Where $k$ depends on required yield and number of instances. ### Margin Calculation For yield $Y$ over $N$ instances: $$ k = \Phi^{-1}\left( Y^{1/N} \right) $$ Where $\Phi^{-1}$ is the inverse normal CDF. ### Example - Target yield: 99% - Number of gates: $10^9$ - Required: $k \approx 7\sigma$ per gate ## 13. Key Mathematical Insights ### Insight 1: RSS Dominates Budgets Uncorrelated errors add in quadrature: $$ \sigma_{\text{total}} = \sqrt{\sigma_1^2 + \sigma_2^2 + \cdots + \sigma_n^2} $$ **Implication**: Reducing the largest contributor gives the most improvement. ### Insight 2: Tails Matter More Than Means High-volume manufacturing lives in the $6\sigma$ tails where: - Gaussian assumptions break down - Extreme value statistics become essential - Rare events dominate yield loss ### Insight 3: Nonlinearity Creates Surprises Even Gaussian inputs produce non-Gaussian outputs: $$ Y = f(X) \quad \text{where } X \sim N(\mu, \sigma^2) $$ If $f$ is nonlinear, $Y$ is not Gaussian. ### Insight 4: Correlations Can Help or Hurt - **Positive correlations**: Worsen tail probabilities - **Negative correlations**: Can provide compensation - **Designed-in correlations**: Can dramatically improve yield ### Insight 5: Scaling Amplifies Relative Error $$ \text{Relative Error} = \frac{\sigma}{\text{Feature Size}} $$ A 1 nm variation: - 5% of 20 nm feature - 10% of 10 nm feature - 20% of 5 nm feature ## 14. Summary Equations ### Core Error Propagation $$ \sigma_f^2 = \sum_i \left( \frac{\partial f}{\partial x_i} \right)^2 \sigma_i^2 $$ ### Yield (Negative Binomial) $$ Y = \left( 1 + \frac{D_0 A}{\alpha} \right)^{-\alpha} $$ ### Edge Placement Error $$ EPE = \sqrt{\left( \frac{\Delta CD}{2} \right)^2 + OVL^2 + \left( \frac{LER}{2} \right)^2} $$ ### Process Capability $$ C_{pk} = \min \left[ \frac{USL - \mu}{3\sigma}, \frac{\mu - LSL}{3\sigma} \right] $$ ### Stochastic LER $$ LER \propto \frac{1}{\sqrt{N_{\text{photons}}}} $$

error rate tracking,monitoring

Monitor frequency and types of errors.

error-resilient systems, design

Systems tolerating occasional errors.

escalation procedure, quality & reliability

Escalation procedures define authority levels and timing for issue resolution.

escape,quality

Defective part that passes testing.

esd (electrostatic discharge),esd,electrostatic discharge,reliability

Sudden voltage spike that can damage chips.

esd audit, esd, quality

Verify ESD controls are effective.

esd awareness training, esd, quality

Educate personnel about ESD.

esd clamp, esd, design

Circuit clamping voltage during ESD.

esd footwear, esd, facility

Conductive shoes for grounding.

esd mats, esd, facility

Grounded work surfaces.

esd packaging, esd, packaging

Protect devices during transport.

esd protection network, esd, design

On-chip ESD protection circuits.

esd protection, esd, manufacturing operations

ESD protection uses grounded wrist straps mats and ionizers preventing discharge.

esd protection,design

On-chip structures to shunt ESD current safely.

esd testing (electrostatic discharge),esd testing,electrostatic discharge,reliability

Simulate electrostatic discharge events.

esd window, esd, design

Operating range for ESD protection.

esd wrist straps, esd, facility

Personal grounding for workers.

esd-safe environment, facility

Facility design minimizing ESD.

esl, esl, signal & power integrity

Equivalent Series Inductance in capacitors and interconnects increases impedance at high frequencies limiting decoupling effectiveness.

esr, esr, signal & power integrity

Equivalent Series Resistance of capacitors limits their effectiveness at high frequencies determining impedance and damping characteristics.

esrgan, esrgan, computer vision

GAN-based upscaling.

ess, ess, business & standards

Environmental Stress Screening applies temperature cycling vibration or other stresses.

essay,write,academic

AI assists essay writing. Outline, draft, citations.

eta sampling, llm optimization

Eta sampling uses entropy-based threshold for adaptive truncation.

etch chemistry,etch

Specific gases used for plasma etch (CF4 CHF3 Cl2 HBr SF6 O2 etc).

etch damage,etch

Ion bombardment damage to underlying crystal or interfaces.

etch equipment, plasma etch equipment, icp etch, ccp etch, reactive ion etch equipment, plasma reactor, etch chamber, etch simulator

# Semiconductor Manufacturing: Etch Equipment Mathematical Modeling ## 1. Introduction Plasma etching is a critical process in semiconductor manufacturing where material is selectively removed from wafer surfaces using reactive plasmas. Mathematical modeling spans multiple scales and physics domains: - **Plasma physics** — Generation and transport of reactive species - **Surface chemistry** — Reaction kinetics at the wafer surface - **Transport phenomena** — Gas flow, heat transfer, species diffusion - **Feature evolution** — Nanoscale profile development - **Process control** — Run-to-run optimization and fault detection ### 1.1 Etch Process Types | Type | Mechanism | Selectivity | Anisotropy | |------|-----------|-------------|------------| | Wet Etch | Chemical dissolution | High | Isotropic | | Plasma Etch | Ion + radical reactions | Medium-High | Anisotropic | | RIE | Ion-enhanced chemistry | Medium | High | | ICP-RIE | High-density plasma | Tunable | Very High | | ALE | Self-limiting cycles | Very High | Atomic-level | ## 2. Plasma Discharge Modeling ### 2.1 Electron Kinetics The electron energy distribution function (EEDF) governs ionization and dissociation rates. It is described by the **Boltzmann transport equation**: $$ \frac{\partial f}{\partial t} + \vec{v} \cdot \nabla_r f + \frac{e\vec{E}}{m_e} \cdot \nabla_v f = C[f] $$ Where: - $f(\vec{r}, \vec{v}, t)$ — Electron distribution function - $\vec{E}$ — Electric field vector - $m_e$ — Electron mass - $C[f]$ — Collision integral #### Two-Term Approximation For weakly anisotropic distributions: $$ f(\vec{r}, \vec{v}, t) = f_0(\vec{r}, v, t) + \vec{v} \cdot \vec{f}_1(\vec{r}, v, t) $$ ### 2.2 Species Continuity Equations For each species $i$ (electrons, ions, neutrals, radicals): $$ \frac{\partial n_i}{\partial t} + \nabla \cdot \vec{\Gamma}_i = S_i $$ Where: - $n_i$ — Number density of species $i$ (m⁻³) - $\vec{\Gamma}_i$ — Flux vector (m⁻² s⁻¹) - $S_i$ — Source/sink term from reactions (m⁻³ s⁻¹) #### Flux Expressions - **Neutral species (diffusion only):** $$ \vec{\Gamma}_n = -D_n \nabla n_n $$ - **Charged species (drift-diffusion):** $$ \vec{\Gamma}_{\pm} = \pm \mu_{\pm} n_{\pm} \vec{E} - D_{\pm} \nabla n_{\pm} $$ Where: - $D$ — Diffusion coefficient (m² s⁻¹) - $\mu$ — Mobility (m² V⁻¹ s⁻¹) #### Einstein Relation $$ D = \frac{\mu k_B T}{e} $$ ### 2.3 Reaction Rate Coefficients Rate coefficients are computed by integrating cross-sections over the EEDF: $$ k = \int_0^{\infty} \sigma(\varepsilon) \cdot v(\varepsilon) \cdot f(\varepsilon) \, d\varepsilon $$ Where: - $\sigma(\varepsilon)$ — Energy-dependent cross-section (m²) - $v(\varepsilon) = \sqrt{2\varepsilon/m_e}$ — Electron velocity - $f(\varepsilon)$ — Normalized EEDF #### Key Reactions in Fluorine-Based Plasmas | Reaction | Type | Rate Expression | |----------|------|-----------------| | $e + SF_6 \rightarrow SF_5^+ + F + 2e$ | Ionization | $k_1(T_e)$ | | $e + SF_6 \rightarrow SF_5 + F + e$ | Dissociation | $k_2(T_e)$ | | $e + SF_6 \rightarrow SF_6^- $ | Attachment | $k_3(T_e)$ | | $F + Si \rightarrow SiF_{(ads)}$ | Adsorption | $s \cdot \Gamma_F$ | ### 2.4 Electron Energy Balance $$ \frac{\partial}{\partial t}\left(\frac{3}{2} n_e k_B T_e\right) + \nabla \cdot \vec{q}_e = P_{abs} - P_{loss} $$ Where: - $P_{abs}$ — Power absorbed from RF field (W m⁻³) - $P_{loss}$ — Power lost to collisions (W m⁻³) $$ P_{loss} = \sum_j n_e n_j k_j \varepsilon_j $$ ### 2.5 Electromagnetic Field Equations #### Capacitively Coupled Plasma (CCP) Poisson's equation: $$ \nabla^2 \phi = -\frac{\rho}{\varepsilon_0} = -\frac{e(n_i - n_e)}{\varepsilon_0} $$ #### Inductively Coupled Plasma (ICP) Wave equation for the azimuthal electric field: $$ \nabla^2 E_\theta - \frac{1}{c^2}\frac{\partial^2 E_\theta}{\partial t^2} = \mu_0 \frac{\partial J_\theta}{\partial t} $$ With plasma conductivity: $$ \sigma_p = \frac{n_e e^2}{m_e(\nu_m + i\omega)} $$ ## 3. Sheath Physics The plasma sheath is a thin, ion-rich region at the wafer surface that accelerates ions for bombardment. ### 3.1 Bohm Criterion Ions must reach the sheath edge with minimum velocity: $$ v_B = \sqrt{\frac{k_B T_e}{M_i}} $$ Where: - $k_B$ — Boltzmann constant (1.38 × 10⁻²³ J K⁻¹) - $T_e$ — Electron temperature (K or eV) - $M_i$ — Ion mass (kg) ### 3.2 Child-Langmuir Law Maximum ion current density through a collisionless sheath: $$ J_{CL} = \frac{4\varepsilon_0}{9}\sqrt{\frac{2e}{M_i}} \cdot \frac{V_s^{3/2}}{d^2} $$ Where: - $V_s$ — Sheath voltage (V) - $d$ — Sheath thickness (m) - $\varepsilon_0$ — Permittivity of free space (8.85 × 10⁻¹² F m⁻¹) ### 3.3 Sheath Thickness Approximate expression: $$ d \approx \lambda_D \cdot \left(\frac{2eV_s}{k_B T_e}\right)^{3/4} $$ Where Debye length: $$ \lambda_D = \sqrt{\frac{\varepsilon_0 k_B T_e}{n_e e^2}} $$ ### 3.4 Ion Energy Distribution Function (IEDF) The IEDF depends critically on the ratio: $$ \xi = \frac{\tau_{ion}}{\tau_{RF}} = \frac{\omega_{RF} \cdot d}{v_B} $$ Where: - **$\xi \gg 1$ (high frequency):** Ions see time-averaged sheath voltage → narrow IEDF - **$\xi \ll 1$ (low frequency):** Ions respond to instantaneous voltage → bimodal IEDF #### Bimodal IEDF Expression For RF sheaths: $$ f(E) \propto \frac{1}{\sqrt{(E - E_{min})(E_{max} - E)}} $$ With: - $E_{max} = e(V_{dc} + V_{rf})$ - $E_{min} = e(V_{dc} - V_{rf})$ ### 3.5 Collisional Sheath Effects When $d > \lambda_{mfp}$ (ion mean free path), ion-neutral collisions broaden the IEDF: $$ f(E) \propto E \cdot \exp\left(-\frac{E}{\bar{E}}\right) $$ ## 4. Surface Reaction Kinetics ### 4.1 General Etch Rate Model $$ ER = \underbrace{Y_{phys}(E,\theta) \cdot \Gamma_{ion}}_{\text{Physical sputtering}} + \underbrace{Y_{chem} \cdot \Gamma_R \cdot \theta_{ads} \cdot f(E_{ion})}_{\text{Ion-enhanced chemistry}} $$ Where: - $ER$ — Etch rate (nm min⁻¹ or Å s⁻¹) - $Y_{phys}$ — Physical sputtering yield (atoms/ion) - $Y_{chem}$ — Chemical etch yield coefficient - $\Gamma$ — Flux (m⁻² s⁻¹) - $\theta_{ads}$ — Surface coverage fraction (0–1) - $f(E_{ion})$ — Ion enhancement function ### 4.2 Physical Sputtering Yield #### Sigmund Theory For normal incidence: $$ Y_0(E) = \frac{3\alpha}{4\pi^2 U_s} \cdot \frac{4M_1 M_2}{(M_1 + M_2)^2} \cdot E $$ Where: - $U_s$ — Surface binding energy (eV) - $M_1$, $M_2$ — Ion and target atom masses - $\alpha$ — Dimensionless parameter (~0.2–0.4) #### Threshold Energy Sputtering occurs only above threshold: $$ E_{th} \approx \frac{(M_1 + M_2)^2}{4M_1 M_2} \cdot U_s $$ ### 4.3 Angular Dependence of Sputtering Yamamura formula: $$ Y(\theta) = Y_0 \cdot \cos^{-f}(\theta) \cdot \exp\left[-b\left(\frac{1}{\cos\theta} - 1\right)\right] $$ Where: - $\theta$ — Ion incidence angle from surface normal - $f$ — Fitting parameter (~1.5–2.5) - $b$ — Fitting parameter (~0.1–0.5) **Physical interpretation:** - $\cos^{-f}(\theta)$ term: Enhanced yield at grazing angles (energy deposited closer to surface) - $\exp[-b(\cdot)]$ term: Suppression at very grazing angles (reflection) ### 4.4 Surface Coverage Dynamics Langmuir adsorption kinetics: $$ \frac{d\theta}{dt} = \underbrace{s \cdot \Gamma_R (1-\theta)}_{\text{Adsorption}} - \underbrace{k_d \cdot \theta}_{\text{Thermal desorption}} - \underbrace{k_{react} \cdot \theta \cdot \Gamma_{ion}}_{\text{Ion-induced reaction}} $$ Where: - $s$ — Sticking coefficient (0–1) - $k_d = \nu_0 \exp(-E_d/k_B T)$ — Desorption rate - $\nu_0$ — Attempt frequency (~10¹³ s⁻¹) - $E_d$ — Desorption activation energy (eV) #### Steady-State Coverage $$ \theta_{ss} = \frac{s \cdot \Gamma_R}{s \cdot \Gamma_R + k_d + k_{react} \cdot \Gamma_{ion}} $$ ### 4.5 Ion-Enhanced Etching Mechanisms #### Damage Model Ion bombardment creates reactive sites: $$ ER = k \cdot [\text{Damage}] \cdot \Gamma_R $$ $$ [\text{Damage}] = \frac{Y_d \cdot \Gamma_{ion}}{k_{anneal} + k_{react} \cdot \Gamma_R} $$ #### Chemically Enhanced Physical Sputtering Product species have lower binding energy: $$ Y_{eff} = Y_{substrate} \cdot (1 - \theta) + Y_{product} \cdot \theta $$ Where typically $Y_{product} > Y_{substrate}$. ### 4.6 Silicon Etching in Fluorine Plasmas Simplified mechanism: 1. **Adsorption:** $F_{(g)} + Si^* \rightarrow SiF_{(ads)}$ 2. **Fluorination:** $SiF_{(ads)} + F \rightarrow SiF_2 \rightarrow SiF_3 \rightarrow SiF_4$ 3. **Desorption:** $SiF_4 \xrightarrow{ion} SiF_4 (g)\uparrow$ Etch rate expression: $$ ER_{Si} = \frac{N_0}{\rho_{Si}} \left[ k_s \cdot \Gamma_F \cdot \theta_F + Y_{ion} \cdot \Gamma_{ion} \right] $$ Where: - $N_0$ — Avogadro's number - $\rho_{Si}$ — Silicon atomic density (5 × 10²² cm⁻³) ### 4.7 Oxide Etching in Fluorocarbon Plasmas More complex due to polymer competition: $$ ER_{ox} = k_{etch} \cdot \Gamma_{ion} \cdot E_{ion}^n \cdot \exp\left(-\frac{t_{poly}}{t_0}\right) $$ Where: - $t_{poly}$ — Polymer thickness - Balance between etching and deposition determines regime **Regime boundaries:** - High F/C ratio → Etching dominant - Low F/C ratio → Deposition dominant (polymerization) ## 5. Feature-Scale Modeling ### 5.1 Level Set Method The surface is represented implicitly as the zero level set of $\phi(\vec{x}, t)$: $$ \phi(\vec{x}, t) = \begin{cases} < 0 & \text{inside material} \\ = 0 & \text{surface} \\ > 0 & \text{outside (plasma/vacuum)} \end{cases} $$ #### Evolution Equation $$ \frac{\partial \phi}{\partial t} + V_n |\nabla \phi| = 0 $$ Where $V_n$ is the velocity in the normal direction: $$ \vec{n} = \frac{\nabla \phi}{|\nabla \phi|} $$ #### Advantages - Handles topological changes naturally (merging, splitting) - No explicit surface tracking required - Curvature easily computed: $\kappa = \nabla \cdot \vec{n}$ ### 5.2 Flux Calculation at Surface Points Local etch velocity depends on incident fluxes: $$ V_n(\vec{x}) = \Omega \cdot \left[ Y_{phys} \cdot \Gamma_{ion}(\vec{x}) + Y_{chem} \cdot \Gamma_R(\vec{x}) \cdot \theta(\vec{x}) \right] $$ Where $\Omega$ is the atomic volume. ### 5.3 Knudsen Transport in High Aspect Ratio Features At low pressure, neutral mean free path > feature dimensions → **free molecular flow**. #### View Factor Method Flux at surface point P: $$ \Gamma(P) = \Gamma_0 \cdot \Omega(P) + \int_{\text{visible}} \Gamma(P') \cdot K(P', P) \, dA' $$ Where: - $\Gamma_0$ — Flux from plasma (at feature opening) - $\Omega(P)$ — Solid angle subtended by opening at P - $K(P', P)$ — Kernel for re-emission from P' to P #### Cosine Re-emission Law For diffuse reflection: $$ K(P', P) = \frac{\cos\theta' \cos\theta}{\pi r^2} \cdot (1 - s) $$ Where: - $\theta'$, $\theta$ — Angles from surface normals - $r$ — Distance between points - $s$ — Sticking coefficient ### 5.4 Clausing Factor for Tubes Transmission probability through a cylindrical hole: $$ W = \frac{1}{1 + \frac{3L}{8r}} $$ Where $L$ = length, $r$ = radius. For aspect ratio $AR = L/(2r)$: $$ W \approx \frac{1}{1 + \frac{3}{4}AR} $$ ### 5.5 Aspect Ratio Dependent Etching (ARDE) Empirical model: $$ \frac{ER(AR)}{ER_0} = \frac{1}{1 + \beta \cdot AR^n} $$ Where: - $ER_0$ — Etch rate at open area - $\beta$, $n$ — Fitting parameters (typically $n \approx 1$–2) **Physical causes:** - Neutral transport limitation (Knudsen diffusion) - Ion angular distribution effects - Charging effects in dielectric etching ### 5.6 Ion Angular Distribution Effects Ions have finite angular spread due to: - Thermal velocity at sheath edge - Collisions in sheath - Non-vertical electric fields Distribution often modeled as: $$ f(\theta_{ion}) = \frac{1}{\sqrt{2\pi}\sigma_\theta} \exp\left(-\frac{\theta_{ion}^2}{2\sigma_\theta^2}\right) $$ Typical $\sigma_\theta \approx 2°$–5° ### 5.7 Monte Carlo Feature-Scale Methods **Algorithm:** 1. Launch particle from plasma with appropriate energy/angle distribution 2. Track trajectory to surface 3. Evaluate reaction probability based on local conditions 4. If reaction occurs, remove material; else reflect particle 5. Repeat for statistical convergence 6. Advance surface based on accumulated removal **Advantages:** - Naturally handles stochastic effects - Easy to incorporate complex physics - Parallelizable ## 6. Equipment-Scale Transport ### 6.1 Gas Flow Regimes Characterized by Knudsen number: $$ Kn = \frac{\lambda}{L} $$ Where $\lambda$ is mean free path, $L$ is characteristic length. | Kn Range | Regime | Model | |----------|--------|-------| | $< 0.01$ | Continuum | Navier-Stokes | | $0.01$–$0.1$ | Slip flow | Modified N-S | | $0.1$–$10$ | Transitional | DSMC | | $> 10$ | Free molecular | Kinetic theory | ### 6.2 Navier-Stokes Equations **Continuity:** $$ \frac{\partial \rho}{\partial t} + \nabla \cdot (\rho \vec{v}) = 0 $$ **Momentum:** $$ \rho \left( \frac{\partial \vec{v}}{\partial t} + \vec{v} \cdot \nabla \vec{v} \right) = -\nabla p + \mu \nabla^2 \vec{v} + \frac{\mu}{3} \nabla(\nabla \cdot \vec{v}) $$ **Energy:** $$ \rho c_p \left( \frac{\partial T}{\partial t} + \vec{v} \cdot \nabla T \right) = \nabla \cdot (k \nabla T) + \Phi + Q_{source} $$ Where $\Phi$ is viscous dissipation. ### 6.3 Slip Boundary Conditions For Knudsen numbers 0.01–0.1: $$ v_{slip} = \frac{2 - \sigma_v}{\sigma_v} \lambda \left. \frac{\partial v}{\partial n} \right|_{wall} $$ $$ T_{slip} - T_{wall} = \frac{2 - \sigma_T}{\sigma_T} \frac{2\gamma}{\gamma + 1} \frac{\lambda}{Pr} \left. \frac{\partial T}{\partial n} \right|_{wall} $$ Where $\sigma_v$, $\sigma_T$ are accommodation coefficients. ### 6.4 Wafer Temperature Model Energy balance at wafer surface: $$ \rho c_p t_w \frac{\partial T_w}{\partial t} = Q_{ion} + Q_{chem} - Q_{rad} - Q_{cond} $$ Components: - **Ion bombardment:** $Q_{ion} = \Gamma_{ion} \cdot E_{ion}$ - **Chemical reactions:** $Q_{chem} = \Gamma_{etch} \cdot \Delta H_{rxn}$ - **Radiation:** $Q_{rad} = \varepsilon \sigma (T_w^4 - T_{wall}^4)$ - **Conduction to chuck:** $Q_{cond} = h_c (T_w - T_{chuck})$ The contact conductance $h_c$ depends on: - Backside gas pressure - Surface roughness - Clamping force ### 6.5 Uniformity Modeling Radial etch rate profile: $$ ER(r) = ER_0 \cdot \left[ 1 + \sum_{n=1}^{N} a_n \left( \frac{r}{R_w} \right)^{2n} \right] $$ Where $R_w$ is wafer radius. **Uniformity metric:** $$ \text{Uniformity} = \frac{ER_{max} - ER_{min}}{2 \cdot ER_{avg}} \times 100\% $$ ### 6.6 Loading Effect Etch rate depends on exposed area: $$ ER = \frac{ER_0}{1 + \beta \cdot A_{exposed}} $$ Or in terms of pattern density $\rho_p$: $$ ER(\rho_p) = ER_0 \cdot \frac{1 - \rho_p}{1 - \rho_p + \rho_p \cdot \frac{ER_0}{ER_{max}}} $$ ## 7. Multiscale Coupling ### 7.1 Scale Hierarchy | Scale | Dimension | Time | Physics | |-------|-----------|------|---------| | Equipment | ~0.5 m | ms–s | Gas flow, power | | Plasma | ~cm | μs–ms | Species transport | | Sheath | ~100 μm | ns–μs | Ion acceleration | | Feature | ~10–100 nm | s–min | Profile evolution | | Surface | ~nm | ps–ns | Adsorption, reaction | ### 7.2 Coupling Strategies #### Hierarchical Approach 1. Solve equipment-scale flow → boundary conditions for plasma 2. Solve plasma model → fluxes to sheath 3. Solve sheath model → IEDF to surface 4. Solve feature-scale model → local etch rates #### Embedded Multiscale Feature-scale model embedded in equipment simulation: - Sample representative features across wafer - Compute local etch rates from local plasma conditions - Interpolate for full wafer prediction ### 7.3 Reduced-Order Models **Plasma model simplification:** $$ n_e(P, W) = n_0 \cdot \left( \frac{P}{P_0} \right)^a \cdot \left( \frac{W}{W_0} \right)^b $$ Where P is pressure, W is power. **Response surfaces:** $$ ER = \beta_0 + \sum_i \beta_i x_i + \sum_i \sum_j \beta_{ij} x_i x_j + \sum_i \beta_{ii} x_i^2 $$ ## 8. Process Control Mathematics ### 8.1 Run-to-Run (R2R) Control #### EWMA Controller $$ u_k = u_{k-1} + K \cdot (y_{target} - y_{k-1}) $$ Where: - $u_k$ — Recipe parameter at run $k$ - $y_k$ — Measured output at run $k$ - $K$ — Controller gain #### Double EWMA (for drift) $$ \hat{y}_{k+1} = \alpha y_k + (1-\alpha)\hat{y}_k $$ $$ \hat{d}_{k+1} = \beta(\hat{y}_{k+1} - \hat{y}_k) + (1-\beta)\hat{d}_k $$ $$ u_{k+1} = u_k - G(\hat{y}_{k+1} + \hat{d}_{k+1} - y_{target}) $$ ### 8.2 Model Predictive Control (MPC) Optimize over horizon N: $$ \min_{u_k, ..., u_{k+N-1}} J = \sum_{i=1}^{N} \left[ \| y_{k+i} - y_{ref} \|_Q^2 + \| \Delta u_{k+i-1} \|_R^2 \right] $$ Subject to: - Process model: $y_{k+1} = f(y_k, u_k)$ - Input constraints: $u_{min} \leq u \leq u_{max}$ - Output constraints: $y_{min} \leq y \leq y_{max}$ - Rate constraints: $|\Delta u| \leq \Delta u_{max}$ ### 8.3 Virtual Metrology Predict wafer-level results from equipment data: $$ \hat{y} = f(\vec{x}_{sensor}) $$ Where $\vec{x}_{sensor}$ includes: - Optical emission spectroscopy (OES) signals - RF impedance (voltage, current, phase) - Pressure, flow rates - Chamber wall temperature - Endpoint detection signals #### PLS (Partial Least Squares) Model $$ \hat{y} = \vec{x}^T \cdot \vec{\beta}_{PLS} $$ #### Neural Network Model $$ \hat{y} = W_2 \cdot \sigma(W_1 \cdot \vec{x} + \vec{b}_1) + b_2 $$ ### 8.4 Fault Detection and Classification (FDC) #### Hotelling's T² Statistic $$ T^2 = (\vec{x} - \vec{\mu})^T \Sigma^{-1} (\vec{x} - \vec{\mu}) $$ Alarm if $T^2 > T^2_{critical}(\alpha, p, n)$ #### Q-Statistic (SPE) $$ Q = \|\vec{x} - \hat{\vec{x}}\|^2 $$ Where $\hat{\vec{x}}$ is PCA reconstruction. ### 8.5 Endpoint Detection #### OES Endpoint Monitor emission intensity ratio: $$ R(t) = \frac{I_{\lambda_1}(t)}{I_{\lambda_2}(t)} $$ Endpoint when: $$ \left| \frac{dR}{dt} \right| > \text{threshold} $$ ## 9. Emerging Frontiers ### 9.1 Atomic Layer Etching (ALE) Self-limiting process: 1. **Modification step:** Surface layer modified (e.g., chlorination) 2. **Removal step:** Modified layer removed by low-energy ions $$ EPC = \Gamma_{sat} \cdot \delta_{modified} $$ Where: - $EPC$ — Etch per cycle (typically 0.5–2 Å) - $\Gamma_{sat}$ — Saturation coverage - $\delta_{modified}$ — Modified layer thickness #### Synergy Parameter $$ S = \frac{EPC_{ALE}}{EPC_{continuous}} $$ High synergy indicates good self-limiting behavior. ### 9.2 Machine Learning Integration #### Physics-Informed Neural Networks (PINNs) Loss function includes physics constraints: $$ \mathcal{L} = \mathcal{L}_{data} + \lambda \cdot \mathcal{L}_{physics} $$ Where: $$ \mathcal{L}_{physics} = \left\| \frac{\partial n}{\partial t} + \nabla \cdot \vec{\Gamma} - S \right\|^2 $$ #### Gaussian Process Regression For process optimization with uncertainty quantification: $$ f(\vec{x}) \sim \mathcal{GP}(m(\vec{x}), k(\vec{x}, \vec{x}')) $$ Posterior mean: $$ \bar{f}(\vec{x}_*) = \vec{k}_*^T (K + \sigma_n^2 I)^{-1} \vec{y} $$ ### 9.3 Stochastic Effects at Nanoscale #### Line Edge Roughness (LER) At sub-10 nm features, discrete nature of reactions matters: $$ \sigma_{LER}^2 = \frac{a^3}{L} \cdot \left( \frac{1}{\Gamma_{ion}} + \frac{1}{\Gamma_R \cdot s} \right) $$ Where $a$ is atomic spacing, $L$ is line length. #### Kinetic Monte Carlo (KMC) Event selection probability: $$ P_i = \frac{r_i}{\sum_j r_j} $$ Time advance: $$ \Delta t = -\frac{\ln(u)}{\sum_j r_j} $$ Where $u \in (0,1)$ is uniform random. ## Physical Constants | Constant | Symbol | Value | |----------|--------|-------| | Boltzmann constant | $k_B$ | $1.38 \times 10^{-23}$ J K⁻¹ | | Elementary charge | $e$ | $1.60 \times 10^{-19}$ C | | Electron mass | $m_e$ | $9.11 \times 10^{-31}$ kg | | Permittivity of free space | $\varepsilon_0$ | $8.85 \times 10^{-12}$ F m⁻¹ | | Avogadro's number | $N_A$ | $6.02 \times 10^{23}$ mol⁻¹ | | Stefan-Boltzmann constant | $\sigma$ | $5.67 \times 10^{-8}$ W m⁻² K⁻⁴ | ## Typical Process Parameters | Parameter | Typical Range | Units | |-----------|---------------|-------| | Pressure | 1–100 | mTorr | | RF Power | 100–2000 | W | | Bias Voltage | 50–500 | V | | Electron Temperature | 2–5 | eV | | Electron Density | 10⁹–10¹² | cm⁻³ | | Ion Energy | 50–500 | eV | | Etch Rate | 50–500 | nm min⁻¹ |

etch film stack modeling, etch film stack, etch modeling, etch film stack math, film stack etch modeling

# Etch Film Stack Mathematical Modeling 1. Introduction and Problem Setup A film stack in semiconductor manufacturing consists of multiple thin-film layers that must be precisely etched. Typical structures include: - Photoresist (masking layer) - Hard mask (SiN, SiO₂, or metal) - Target film (material to be etched) - Etch stop layer - Substrate (Si wafer) Objectives - Remove target material at a controlled rate - Stop precisely at interfaces (selectivity) - Maintain profile fidelity (anisotropy, sidewall angle) - Achieve uniformity across the wafer 2. Fundamental Etch Rate Models 2.1 Surface Reaction Kinetics The Langmuir-Hinshelwood model captures competitive adsorption of reactive species: $$ R = \frac{k \cdot \theta_A \cdot \theta_B}{\left(1 + K_A[A] + K_B[B]\right)^2} $$ Where: - $R$ = etch rate - $k$ = reaction rate constant - $\theta_A, \theta_B$ = fractional surface coverage of species A and B - $K_A, K_B$ = adsorption equilibrium constants - $[A], [B]$ = gas-phase concentrations 2.2 Temperature Dependence (Arrhenius) $$ R = R_0 \exp\left(-\frac{E_a}{k_B T}\right) $$ Where: - $R_0$ = pre-exponential factor - $E_a$ = activation energy - $k_B$ = Boltzmann constant ($1.38 \times 10^{-23}$ J/K) - $T$ = absolute temperature (K) 2.3 Ion-Enhanced Etching Model Most plasma etching exhibits synergistic behavior—ions enhance chemical reactions: $$ R_{total} = R_{chem} + R_{phys} + R_{synergy} $$ The ion-enhanced component dominates in RIE/ICP: $$ R_{ie} = Y(E, \theta) \cdot \Gamma_{ion} \cdot \Theta_{react} $$ Where: - $Y(E, \theta)$ = ion yield function (depends on energy $E$ and angle $\theta$) - $\Gamma_{ion}$ = ion flux to surface (ions/cm²·s) - $\Theta_{react}$ = fractional coverage of reactive species 3. Profile Evolution Mathematics 3.1 Level Set Method The evolving surface is represented as the zero-contour of a level set function $\phi(\mathbf{x}, t)$: $$ \frac{\partial \phi}{\partial t} + V(\mathbf{x}, t) \cdot |\nabla \phi| = 0 $$ Where: - $\phi(\mathbf{x}, t)$ = level set function - $V(\mathbf{x}, t)$ = local etch velocity (material and flux dependent) - $\nabla \phi$ = gradient of the level set function - $|\nabla \phi|$ = magnitude of the gradient The surface normal is computed as: $$ \hat{n} = \frac{\nabla \phi}{|\nabla \phi|} $$ 3.2 Visibility and Shadowing Integrals For a point $\mathbf{p}$ inside a feature, the effective flux is: $$ \Gamma(\mathbf{p}) = \int_{\Omega_{visible}} f(\hat{\Omega}) \cdot (\hat{\Omega} \cdot \hat{n}) \, d\Omega $$ Where: - $\Omega_{visible}$ = solid angle visible from point $\mathbf{p}$ - $f(\hat{\Omega})$ = ion angular distribution function (IADF) - $\hat{n}$ = local surface normal 3.3 Ion Angular Distribution Function (IADF) Typically modeled as a Gaussian: $$ f(\theta) = \frac{1}{\sqrt{2\pi}\sigma} \exp\left(-\frac{\theta^2}{2\sigma^2}\right) $$ Where: - $\theta$ = angle from surface normal - $\sigma$ = angular spread (related to $T_i / T_e$ ratio) 4. Multi-Layer Stack Modeling 4.1 Interface Tracking For a stack with $n$ layers at depths $z_1, z_2, \ldots, z_n$: $$ \frac{dz_{etch}}{dt} = -R_i(t) $$ Where $i$ indicates the current material being etched. Material transitions occur when $z_{etch}$ crosses an interface boundary. 4.2 Selectivity Definition $$ S_{A:B} = \frac{R_A}{R_B} $$ Design requirements: - Mask selectivity: $S_{target:mask} < 1$ (mask erodes slowly) - Stop layer selectivity: $S_{target:stop} \gg 1$ (typically > 10:1) 4.3 Time-to-Clear Calculation For layer thickness $d_i$ with etch rate $R_i$: $$ t_{clear,i} = \frac{d_i}{R_i} $$ Total etch time through multiple layers: $$ t_{total} = \sum_{i=1}^{n} \frac{d_i}{R_i} + t_{overetch} $$ 5. Aspect Ratio Dependent Etching (ARDE) 5.1 General ARDE Model Etch rate decreases with aspect ratio (AR = depth/width): $$ R(AR) = R_0 \cdot f(AR) $$ 5.2 Neutral Transport Limited (Knudsen Regime) $$ R(AR) = \frac{R_0}{1 + \alpha \cdot AR} $$ The Knudsen diffusivity in a cylindrical feature: $$ D_K = \frac{d}{3}\sqrt{\frac{8 k_B T}{\pi m}} $$ Where: - $d$ = feature diameter - $m$ = molecular mass of neutral species - $T$ = gas temperature 5.3 Clausing Factor for Molecular Flow For a tube of length $L$ and radius $r$: $$ W = \frac{1}{1 + \frac{3L}{8r}} $$ 5.4 Ion Angular Distribution Limited $$ R(AR) = R_0 \cdot \int_0^{\theta_{max}(AR)} f(\theta) \cos\theta \, d\theta $$ Where $\theta_{max}$ is the maximum acceptance angle: $$ \theta_{max} = \arctan\left(\frac{w}{2h}\right) $$ 6. Plasma and Transport Modeling 6.1 Sheath Physics Child-Langmuir Law (Collisionless Sheath) $$ J = \frac{4\varepsilon_0}{9}\sqrt{\frac{2e}{M}}\frac{V_0^{3/2}}{d^2} $$ Where: - $J$ = ion current density - $\varepsilon_0$ = permittivity of free space - $e$ = electron charge - $M$ = ion mass - $V_0$ = sheath voltage - $d$ = sheath thickness Sheath Thickness (Matrix Sheath) $$ s = \lambda_D \sqrt{\frac{2eV_0}{k_B T_e}} $$ Where $\lambda_D$ is the Debye length: $$ \lambda_D = \sqrt{\frac{\varepsilon_0 k_B T_e}{n_e e^2}} $$ 6.2 Ion Flux to Surface At the sheath edge, ions reach the Bohm velocity: $$ u_B = \sqrt{\frac{k_B T_e}{M_i}} $$ Ion flux: $$ \Gamma_i = n_s \cdot u_B = n_s \sqrt{\frac{k_B T_e}{M_i}} $$ Where $n_s \approx 0.61 \cdot n_0$ (sheath edge density). 6.3 Neutral Species Balance Continuity equation for neutral species: $$ \nabla \cdot (D \nabla n) + \sum_j k_j n_j n_e - k_{loss} n = 0 $$ Where: - $D$ = diffusion coefficient - $k_j$ = generation rate constants - $k_{loss}$ = surface loss rate 7. Feature-Scale Monte Carlo Methods 7.1 Algorithm Overview 1. Sample particles from flux distributions at feature entrance 2. Track trajectories (ballistic for ions, random walk for neutrals) 3. Surface interactions: React, reflect, or stick with probabilities 4. Accumulate statistics for local etch rates 5. Advance surface using accumulated rates 7.2 Reflection Probability Models Specular Reflection $$ \theta_{out} = \theta_{in} $$ Diffuse (Cosine) Reflection $$ P(\theta_{out}) \propto \cos(\theta_{out}) $$ Mixed Model $$ P_{reflect} = (1 - s) \cdot P_{specular} + s \cdot P_{diffuse} $$ Where $s$ is the scattering coefficient. 7.3 Sticking Coefficient Model $$ \gamma = \gamma_0 \cdot (1 - \Theta)^n $$ Where: - $\gamma_0$ = bare surface sticking coefficient - $\Theta$ = surface coverage - $n$ = reaction order 8. Loading Effects 8.1 Macroloading (Wafer Scale) $$ R = \frac{R_0}{1 + \beta \cdot A_{exposed}} $$ Where: - $A_{exposed}$ = total exposed etchable area - $\beta$ = loading coefficient 8.2 Microloading (Pattern Scale) Local etch rate depends on pattern density $\rho$: $$ R_{local} = R_0 \cdot \left(1 - \gamma \cdot \rho\right) $$ Dense patterns etch slower due to local reactant depletion. 8.3 Reactive Species Depletion Model For a feature with area $A$ in a cell of area $A_{cell}$: $$ R = R_0 \cdot \frac{1}{1 + \frac{k_{etch} \cdot A}{k_{supply} \cdot A_{cell}}} $$ 9. Atomic Layer Etching (ALE) Models 9.1 Two-Step Process Step 1 - Surface Modification: $$ A_{(g)} + S_{(s)} \rightarrow A\text{-}S_{(s)} $$ Step 2 - Removal: $$ A\text{-}S_{(s)} + B_{(g/ion)} \rightarrow \text{volatile products} $$ 9.2 Self-Limiting Kinetics Surface coverage during modification: $$ \theta_{mod}(t) = 1 - \exp\left(-\Gamma_A \cdot s_A \cdot t\right) $$ Where: - $\Gamma_A$ = flux of modifying species - $s_A$ = sticking probability - $t$ = exposure time 9.3 Etch Per Cycle (EPC) $$ EPC = \theta_{sat} \cdot \delta_{ML} $$ Where: - $\theta_{sat}$ = saturation coverage (ideally 1.0) - $\delta_{ML}$ = monolayer thickness (typically 0.1–0.5 nm) 9.4 Synergy Factor $$ S_f = \frac{EPC_{ALE}}{EPC_{step1} + EPC_{step2}} $$ Values $S_f > 1$ indicate synergistic enhancement. 10. Process Window Modeling 10.1 Response Surface Methodology $$ CD = \beta_0 + \sum_{i=1}^{k} \beta_i x_i + \sum_{i=1}^{k} \beta_{ii} x_i^2 + \sum_{i 50:1): $$ R_{HAR} = R_0 \cdot \exp\left(-\frac{AR}{AR_c}\right) $$ Where $AR_c$ is a characteristic decay constant. 12.2 Stochastic Effects at Atomic Scale Line edge roughness (LER) from statistical fluctuations: $$ \sigma_{LER} \propto \sqrt{\frac{1}{N_{atoms}}} \propto \frac{1}{\sqrt{CD}} $$ 12.3 Pattern-Dependent Charging Electron shading leads to differential charging: $$ V_{bottom} = V_{plasma} - \frac{J_e - J_i}{C_{feature}} $$ This causes notching and profile distortion in HAR features. 12.4 Etch-Induced Damage Ion damage depth follows: $$ R_p = \frac{E}{S_n + S_e} $$ Where: - $E$ = ion energy - $S_n$ = nuclear stopping power - $S_e$ = electronic stopping power 13. Equations | Physics | Equation | |:--------|:---------| | Etch rate | $R = Y(E) \cdot \Gamma_{ion} \cdot \Theta$ | | Level set evolution | $\frac{\partial \phi}{\partial t} + V|\nabla\phi| = 0$ | | Selectivity | $S_{A:B} = R_A / R_B$ | | ARDE | $R(AR) = R_0 / (1 + \alpha \cdot AR)$ | | Bohm flux | $\Gamma_i = n_s \sqrt{k_B T_e / M_i}$ | | ALE EPC | $EPC = \theta_{sat} \cdot \delta_{ML}$ | | Knudsen diffusion | $D_K = \frac{d}{3}\sqrt{8k_BT/\pi m}$ |

etch modeling, plasma etch, RIE, reactive ion etching, etch simulation, DRIE

# Semiconductor Manufacturing Process: Etch Modeling ## 1. Introduction Etch modeling is one of the most complex and critical areas in semiconductor fabrication simulation. As device geometries shrink below $10\ \text{nm}$ and structures become increasingly three-dimensional, accurate prediction of etch behavior becomes essential for: - **Process Development**: Predict outcomes before costly fab experiments - **Yield Optimization**: Understand how variations propagate to device performance - **OPC/EPC Extension**: Compensate for etch-induced pattern distortions in mask design - **Design-Technology Co-Optimization (DTCO)**: Feed process effects back into design rules - **Virtual Metrology**: Predict wafer results from equipment sensor data in real time ## 2. Fundamentals of Etching ### 2.1 What is Etching? Etching selectively removes material from a wafer to transfer lithographically defined patterns into underlying layers—silicon, oxides, nitrides, metals, or complex stacks. ### 2.2 Types of Etching - **Wet Etching** - Uses liquid chemicals (acids, bases, solvents) - Typically isotropic (etches equally in all directions) - Etch rate follows Arrhenius relationship: $$ R = A \exp\left(-\frac{E_a}{k_B T}\right) $$ where: - $R$ = etch rate - $A$ = pre-exponential factor - $E_a$ = activation energy - $k_B$ = Boltzmann constant ($1.381 \times 10^{-23}\ \text{J/K}$) - $T$ = temperature (K) - **Dry/Plasma Etching** - Uses ionized gases (plasma) - Anisotropic (directional) - Dominant for modern processes ($< 100\ \text{nm}$ nodes) ### 2.3 Plasma Etching Mechanisms 1. **Physical Sputtering** - Ion bombardment physically removes atoms - Sputter yield $Y$ depends on ion energy $E_i$: $$ Y(E_i) = A \left( \sqrt{E_i} - \sqrt{E_{th}} \right) $$ where $E_{th}$ is the threshold energy 2. **Chemical Etching** - Reactive species form volatile products - Example: Silicon etching with fluorine $$ \text{Si} + 4\text{F} \rightarrow \text{SiF}_4 \uparrow $$ 3. **Ion-Enhanced Etching** - Synergy between ion bombardment and chemical reactions - Etch yield enhancement factor: $$ \eta = \frac{Y_{ion+chem}}{Y_{ion} + Y_{chem}} $$ ## 3. Hierarchy of Etch Models ### 3.1 Empirical Models Data-driven, fast, used in production: - **Etch Bias Models** - Simple offset correction: $$ CD_{final} = CD_{litho} + \Delta_{etch} $$ - Pattern-dependent bias: $$ \Delta_{etch} = f(\text{pitch}, \text{density}, \text{orientation}) $$ - **Etch Proximity Correction (EPC)** - Kernel-based convolution: $$ \Delta(x,y) = \iint K(x-x', y-y') \cdot I(x', y') \, dx' dy' $$ - Where $K$ is the etch kernel and $I$ is the pattern intensity - **Machine Learning Models** - Neural networks trained on metrology data - Gaussian process regression for uncertainty quantification ### 3.2 Feature-Scale Models Semi-empirical, balance speed and physics: - **String/Segment Models** - Represent edges as connected nodes - Each node moves according to local etch rate vector: $$ \frac{d\vec{r}_i}{dt} = R(\theta_i, \Gamma_{ion}, \Gamma_{n}) \cdot \hat{n}_i $$ - Where: - $\vec{r}_i$ = position of node $i$ - $\theta_i$ = local surface angle - $\Gamma_{ion}$, $\Gamma_n$ = ion and neutral fluxes - $\hat{n}_i$ = surface normal - **Level-Set Methods** - Track surface as zero-contour of signed distance function $\phi$: $$ \frac{\partial \phi}{\partial t} + R(\vec{x}) |\nabla \phi| = 0 $$ - Handles topology changes naturally (merging, splitting) - **Cell-Based/Voxel Methods** - Discretize feature volume into cells - Apply probabilistic removal rules: $$ P_{remove} = 1 - \exp\left( -\sum_j \sigma_j \Gamma_j \Delta t \right) $$ - Where $\sigma_j$ is the reaction cross-section for species $j$ ### 3.3 Physics-Based Plasma Models Capture reactor-scale phenomena: - **Plasma Bulk** - Electron energy distribution function (EEDF) - Boltzmann equation: $$ \frac{\partial f}{\partial t} + \vec{v} \cdot \nabla f + \frac{q\vec{E}}{m} \cdot \nabla_v f = \left( \frac{\partial f}{\partial t} \right)_{coll} $$ - **Sheath Physics** - Child-Langmuir law for ion flux: $$ J_{ion} = \frac{4\epsilon_0}{9} \sqrt{\frac{2e}{M}} \frac{V^{3/2}}{d^2} $$ - Ion angular distribution at wafer surface - **Transport** - Species continuity: $$ \frac{\partial n_i}{\partial t} + \nabla \cdot (n_i \vec{v}_i) = S_i - L_i $$ - Where $S_i$ and $L_i$ are source and loss terms ### 3.4 Atomistic Models Fundamental understanding, computationally expensive: - **Molecular Dynamics (MD)** - Newton's equations for all atoms: $$ m_i \frac{d^2 \vec{r}_i}{dt^2} = -\nabla_i U(\{\vec{r}\}) $$ - Interatomic potentials: Tersoff, Stillinger-Weber, ReaxFF - **Monte Carlo (MC) Methods** - Statistical sampling of ion trajectories - Binary collision approximation (BCA) for high energies - Acceptance probability: $$ P = \min\left(1, \exp\left(-\frac{\Delta E}{k_B T}\right)\right) $$ - **Kinetic Monte Carlo (KMC)** - Sample reactive events with rates $k_i$: $$ k_i = \nu_0 \exp\left(-\frac{E_{a,i}}{k_B T}\right) $$ - Event selection: $\sum_{j < i} k_j < r \cdot K_{tot} \leq \sum_{j \leq i} k_j$ ## 4. Key Physical Phenomena ### 4.1 Anisotropy Ratio of vertical to lateral etch rate: $$ A = 1 - \frac{R_{lateral}}{R_{vertical}} $$ - $A = 1$: Perfectly anisotropic (vertical sidewalls) - $A = 0$: Perfectly isotropic **Mechanisms for achieving anisotropy:** - Directional ion bombardment - Sidewall passivation (polymer deposition) - Low pressure operation (fewer collisions → more directional ions) - Ion angular distribution characterized by: $$ f(\theta) \propto \cos^n(\theta) $$ where higher $n$ indicates more directional flux ### 4.2 Selectivity Ratio of etch rates between materials: $$ S_{A/B} = \frac{R_A}{R_B} $$ - **Mask selectivity**: Target material vs. photoresist/hard mask - **Stop layer selectivity**: Target material vs. underlying layer Example selectivities required: | Process | Selectivity Required | |---------|---------------------| | Oxide/Nitride | $> 20:1$ | | Poly-Si/Oxide | $> 50:1$ | | Si/SiGe (channel release) | $> 100:1$ | ### 4.3 Loading Effects #### Microloading Local depletion of reactive species in dense pattern regions: $$ R_{dense} = R_0 \cdot \frac{1}{1 + \beta \cdot \rho_{local}} $$ where: - $R_0$ = etch rate in isolated feature - $\beta$ = loading coefficient - $\rho_{local}$ = local pattern density #### Macroloading Wafer-scale depletion: $$ R = R_0 \cdot \left(1 - \alpha \cdot A_{exposed}\right) $$ where $A_{exposed}$ is total exposed area fraction ### 4.4 Aspect Ratio Dependent Etching (ARDE) Deep, narrow features etch slower due to transport limitations: $$ R(AR) = R_0 \cdot \exp\left(-\frac{AR}{AR_0}\right) $$ where $AR = \text{depth}/\text{width}$ **Physical mechanisms:** 1. **Ion Shadowing** - Geometric shadowing angle: $$ \theta_{shadow} = \arctan\left(\frac{1}{AR}\right) $$ 2. **Neutral Transport** - Knudsen diffusion coefficient: $$ D_K = \frac{d}{3} \sqrt{\frac{8 k_B T}{\pi m}} $$ - where $d$ is feature diameter 3. **Byproduct Redeposition** - Sticking probability affects escape ### 4.5 Profile Anomalies | Phenomenon | Description | Cause | |------------|-------------|-------| | **Bowing** | Lateral bulge in sidewall | Ion scattering off sidewalls | | **Notching** | Lateral etching at interface | Charge buildup on insulators | | **Microtrenching** | Deep spots at corners | Ion reflection at feature bottom | | **Footing** | Undercut at bottom | Isotropic chemical component | | **Tapering** | Non-vertical sidewalls | Insufficient passivation | ## 5. Mathematical Foundations ### 5.1 Surface Evolution Equation General form for surface height $h(x,y,t)$: $$ \frac{\partial h}{\partial t} = -R_0 \cdot V(\theta) \cdot \sqrt{1 + |\nabla h|^2} $$ where: - $R_0$ = baseline etch rate - $V(\theta)$ = visibility/flux function - $\theta = \arctan(|\nabla h|)$ ### 5.2 Ion Angular Distribution At wafer surface, ion flux angular distribution: $$ \Gamma(\theta, \phi) = \Gamma_0 \cdot f(\theta) \cdot g(E) $$ Common models: - **Gaussian distribution:** $$ f(\theta) = \frac{1}{\sqrt{2\pi}\sigma_\theta} \exp\left(-\frac{\theta^2}{2\sigma_\theta^2}\right) $$ - **Thompson distribution** (for sputtered neutrals): $$ f(E) \propto \frac{E}{(E + E_b)^3} $$ ### 5.3 Visibility Calculation For a point on the surface, visibility to incoming flux: $$ V(\vec{r}) = \frac{1}{2\pi} \int_0^{2\pi} \int_0^{\theta_{max}(\phi)} f(\theta) \sin\theta \cos\theta \, d\theta \, d\phi $$ where $\theta_{max}(\phi)$ is determined by local geometry (shadowing) ### 5.4 Surface Reaction Kinetics Langmuir-Hinshelwood mechanism: $$ R = k \cdot \theta_A \cdot \theta_B $$ where surface coverages follow: $$ \frac{d\theta_i}{dt} = s_i \Gamma_i (1 - \theta_{total}) - k_d \theta_i - k_r \theta_i $$ - $s_i$ = sticking coefficient - $k_d$ = desorption rate - $k_r$ = reaction rate ### 5.5 Plasma-Surface Interaction Yield Ion-enhanced etch yield: $$ Y_{etch} = Y_0 + Y_1 \cdot \sqrt{E_{ion} - E_{th}} + Y_{chem} \cdot \frac{\Gamma_n}{\Gamma_{ion}} $$ where: - $Y_0$ = chemical baseline yield - $Y_1$ = ion enhancement coefficient - $E_{th}$ = threshold energy (~15-50 eV typically) - $Y_{chem}$ = chemical enhancement factor ## 6. Modern Modeling Approaches ### 6.1 Hybrid Multi-Scale Frameworks Coupling different scales: ``` - ┌─────────────────────────────────────────────────────────────┐ │ REACTOR SCALE │ │ Plasma simulation (fluid or PIC) │ │ Output: Ion/neutral fluxes, energies, angular dist. │ └────────────────────────┬────────────────────────────────────┘ │ Boundary conditions ▼ ┌─────────────────────────────────────────────────────────────┐ │ FEATURE SCALE │ │ Level-set or Monte Carlo │ │ Output: Profile evolution, etch rates │ └────────────────────────┬────────────────────────────────────┘ │ Parameter extraction ▼ ┌─────────────────────────────────────────────────────────────┐ │ ATOMISTIC SCALE │ │ MD/KMC simulations │ │ Output: Sticking coefficients, sputter yields │ └─────────────────────────────────────────────────────────────┘ ``` ### 6.2 Machine Learning Integration - **Surrogate Models** - Train neural network on physics simulation outputs: $$ \hat{y} = f_{NN}(\vec{x}; \vec{w}) $$ - Loss function: $$ \mathcal{L} = \frac{1}{N} \sum_{i=1}^{N} \|y_i - \hat{y}_i\|^2 + \lambda \|\vec{w}\|^2 $$ - **Physics-Informed Neural Networks (PINNs)** - Embed physics constraints in loss: $$ \mathcal{L}_{total} = \mathcal{L}_{data} + \alpha \mathcal{L}_{physics} $$ - Where $\mathcal{L}_{physics}$ enforces governing equations - **Virtual Metrology** - Predict CD, profile from chamber sensors: $$ CD_{predicted} = g(P, T, V_{bias}, \text{OES}, ...) $$ ### 6.3 Computational Lithography Integration Major EDA tools couple lithography + etch: 1. Litho simulation → Resist profile $h_R(x,y)$ 2. Etch simulation → Final pattern $h_F(x,y)$ 3. Combined model: $$ CD_{final} = CD_{design} + \Delta_{OPC} + \Delta_{litho} + \Delta_{etch} $$ ## 7. Challenges at Advanced Nodes ### 7.1 FinFET / Gate-All-Around (GAA) - **Fin Etch** - Sidewall angle uniformity: $90° \pm 1°$ - Width control: $\pm 1\ \text{nm}$ at $W_{fin} < 10\ \text{nm}$ - **Channel Release** - Selective SiGe vs. Si etching - Required selectivity: $> 100:1$ - Etch rate: $$ R_{SiGe} \gg R_{Si} $$ - **Inner Spacer Formation** - Isotropic lateral etch in confined geometry - Depth control: $\pm 0.5\ \text{nm}$ ### 7.2 3D NAND Extreme aspect ratio challenges: | Generation | Layers | Aspect Ratio | |------------|--------|--------------| | 96L | 96 | ~60:1 | | 128L | 128 | ~80:1 | | 176L | 176 | ~100:1 | | 232L+ | 232+ | ~150:1 | Critical issues: - ARDE variation across depth - Bowing control - Twisting in elliptical holes ### 7.3 EUV Patterning - Very thin resists: $< 40\ \text{nm}$ - Hard mask stacks with multiple layers - LER/LWR amplification: $$ LER_{final} = \sqrt{LER_{litho}^2 + LER_{etch}^2} $$ - Target: $LER < 1.2\ \text{nm}$ ($3\sigma$) ### 7.4 Stochastic Effects At small dimensions, statistical fluctuations dominate: $$ \sigma_{CD} \propto \frac{1}{\sqrt{N_{events}}} $$ where $N_{events}$ = number of etching events per feature ## 8. Industry Tools ### 8.1 Commercial Software | Category | Tools | |----------|-------| | **TCAD/Process** | Synopsys Sentaurus Process, Silvaco Victory Process | | **Virtual Fab** | Coventor SEMulator3D | | **Equipment Vendor** | Lam Research, Applied Materials (proprietary) | | **Computational Litho** | Synopsys S-Litho, Siemens Calibre | ### 8.2 Research Tools - **MCFPM** (Monte Carlo Feature Profile Model) - University of Illinois - **LAMMPS** - Molecular dynamics - **SPARTA** - Direct Simulation Monte Carlo - **OpenFOAM** - Plasma fluid modeling ## 9. Future Directions ### 9.1 Digital Twins Real-time chamber models for closed-loop process control: $$ \vec{u}_{control}(t) = \mathcal{K} \left[ y_{target} - y_{model}(t) \right] $$ ### 9.2 Atomistic-Continuum Coupling Seamless multi-scale simulation using: - Adaptive mesh refinement - Concurrent coupling methods - Machine-learned interscale bridging ### 9.3 New Materials Modeling requirements for: - 2D materials (graphene, MoS$_2$, WS$_2$) - High-$\kappa$ dielectrics - Ferroelectrics (HfZrO) - High-mobility channels (InGaAs, Ge) ### 9.4 Uncertainty Quantification Predicting distributions, not just means: $$ P(CD) = \int P(CD | \vec{\theta}) P(\vec{\theta}) d\vec{\theta} $$ Key metrics: - Process capability: $C_{pk} = \frac{\min(USL - \mu, \mu - LSL)}{3\sigma}$ - Target: $C_{pk} > 1.67$ for production ## Summary Etch modeling spans from atomic-scale surface reactions to reactor-scale plasma physics to fab-level empirical correlations. The art lies in choosing the right abstraction level: | Application | Model Type | Speed | Accuracy | |-------------|------------|-------|----------| | Production OPC/EPC | Empirical/ML | ★★★★★ | ★★☆☆☆ | | Process Development | Feature-scale | ★★★☆☆ | ★★★★☆ | | Mechanism Research | Atomistic MD/MC | ★☆☆☆☆ | ★★★★★ | | Equipment Design | Plasma + Feature | ★★☆☆☆ | ★★★★☆ | As geometries shrink and structures become more 3D, accurate etch modeling becomes essential for first-time-right process development and continued yield improvement.