← Back to AI Factory Chat

AI Factory Glossary

422 technical terms and definitions

A B C D E F G H I J K L M N O P Q R S T U V W X Y Z All
Showing page 7 of 9 (422 entries)

etch plasma modeling,plasma etch modeling,plasma etch physics,plasma sheath,ion bombardment,reactive ion etch,RIE

# Mathematical Modeling of Plasma Etching in Semiconductor Manufacturing ## Introduction Plasma etching is a critical process in semiconductor manufacturing where reactive gases are ionized to create a plasma, which selectively removes material from a wafer surface. The mathematical modeling of this process spans multiple physics domains: - **Electromagnetic theory** — RF power coupling and field distributions - **Statistical mechanics** — Particle distributions and kinetic theory - **Reaction kinetics** — Gas-phase and surface chemistry - **Transport phenomena** — Species diffusion and convection - **Surface science** — Etch mechanisms and selectivity ## Foundational Plasma Physics ### Boltzmann Transport Equation The most fundamental description of plasma behavior is the **Boltzmann transport equation**, governing the evolution of the particle velocity distribution function $f(\mathbf{r}, \mathbf{v}, t)$: $$ \frac{\partial f}{\partial t} + \mathbf{v} \cdot \nabla f + \frac{\mathbf{F}}{m} \cdot \nabla_v f = \left(\frac{\partial f}{\partial t}\right)_{\text{collision}} $$ **Where:** - $f(\mathbf{r}, \mathbf{v}, t)$ — Velocity distribution function - $\mathbf{v}$ — Particle velocity - $\mathbf{F}$ — External force (electromagnetic) - $m$ — Particle mass - RHS — Collision integral ### Fluid Moment Equations For computational tractability, velocity moments of the Boltzmann equation yield fluid equations: #### Continuity Equation (Mass Conservation) $$ \frac{\partial n}{\partial t} + \nabla \cdot (n\mathbf{u}) = S - L $$ **Where:** - $n$ — Species number density $[\text{m}^{-3}]$ - $\mathbf{u}$ — Drift velocity $[\text{m/s}]$ - $S$ — Source term (generation rate) - $L$ — Loss term (consumption rate) #### Momentum Conservation $$ \frac{\partial (nm\mathbf{u})}{\partial t} + \nabla \cdot (nm\mathbf{u}\mathbf{u}) + \nabla p = nq(\mathbf{E} + \mathbf{u} \times \mathbf{B}) - nm\nu_m \mathbf{u} $$ **Where:** - $p = nk_BT$ — Pressure - $q$ — Particle charge - $\mathbf{E}$, $\mathbf{B}$ — Electric and magnetic fields - $\nu_m$ — Momentum transfer collision frequency $[\text{s}^{-1}]$ #### Energy Conservation $$ \frac{\partial}{\partial t}\left(\frac{3}{2}nk_BT\right) + \nabla \cdot \mathbf{q} + p\nabla \cdot \mathbf{u} = Q_{\text{heating}} - Q_{\text{loss}} $$ **Where:** - $k_B = 1.38 \times 10^{-23}$ J/K — Boltzmann constant - $\mathbf{q}$ — Heat flux vector - $Q_{\text{heating}}$ — Power input (Joule heating, stochastic heating) - $Q_{\text{loss}}$ — Energy losses (collisions, radiation) ## Electromagnetic Field Coupling ### Maxwell's Equations For capacitively coupled plasma (CCP) and inductively coupled plasma (ICP) reactors: $$ \nabla \times \mathbf{E} = -\frac{\partial \mathbf{B}}{\partial t} $$ $$ \nabla \times \mathbf{H} = \mathbf{J} + \frac{\partial \mathbf{D}}{\partial t} $$ $$ \nabla \cdot \mathbf{D} = \rho $$ $$ \nabla \cdot \mathbf{B} = 0 $$ ### Plasma Conductivity The plasma current density couples through the complex conductivity: $$ \mathbf{J} = \sigma \mathbf{E} $$ For RF plasmas, the **complex conductivity** is: $$ \sigma = \frac{n_e e^2}{m_e(\nu_m + i\omega)} $$ **Where:** - $n_e$ — Electron density - $e = 1.6 \times 10^{-19}$ C — Elementary charge - $m_e = 9.1 \times 10^{-31}$ kg — Electron mass - $\omega$ — RF angular frequency - $\nu_m$ — Electron-neutral collision frequency ### Power Deposition Time-averaged power density deposited into the plasma: $$ P = \frac{1}{2}\text{Re}(\mathbf{J} \cdot \mathbf{E}^*) $$ **Typical values:** - CCP: $0.1 - 1$ W/cm³ - ICP: $0.5 - 5$ W/cm³ ## Plasma Sheath Physics The sheath is a thin, non-neutral region at the plasma-wafer interface that accelerates ions toward the surface, enabling anisotropic etching. ### Bohm Criterion Minimum ion velocity entering the sheath: $$ u_i \geq u_B = \sqrt{\frac{k_B T_e}{M_i}} $$ **Where:** - $u_B$ — Bohm velocity - $T_e$ — Electron temperature (typically 2–5 eV) - $M_i$ — Ion mass **Example:** For Ar⁺ ions with $T_e = 3$ eV: $$ u_B = \sqrt{\frac{3 \times 1.6 \times 10^{-19}}{40 \times 1.67 \times 10^{-27}}} \approx 2.7 \text{ km/s} $$ ### Child-Langmuir Law For a collisionless sheath, the ion current density is: $$ J = \frac{4\varepsilon_0}{9}\sqrt{\frac{2e}{M_i}} \cdot \frac{V_s^{3/2}}{d^2} $$ **Where:** - $\varepsilon_0 = 8.85 \times 10^{-12}$ F/m — Vacuum permittivity - $V_s$ — Sheath voltage drop (typically 10–500 V) - $d$ — Sheath thickness ### Sheath Thickness The sheath thickness scales as: $$ d \approx \lambda_D \left(\frac{2eV_s}{k_BT_e}\right)^{3/4} $$ **Where** the Debye length is: $$ \lambda_D = \sqrt{\frac{\varepsilon_0 k_B T_e}{n_e e^2}} $$ ### Ion Angular Distribution Ions arrive at the wafer with an angular distribution: $$ f(\theta) \propto \exp\left(-\frac{\theta^2}{2\sigma^2}\right) $$ **Where:** $$ \sigma \approx \arctan\left(\sqrt{\frac{k_B T_i}{eV_s}}\right) $$ **Typical values:** $\sigma \approx 2°–5°$ for high-bias conditions. ## Electron Energy Distribution Function ### Non-Maxwellian Distributions In low-pressure plasmas (1–100 mTorr), the EEDF deviates from Maxwellian. #### Two-Term Approximation The EEDF is expanded as: $$ f(\varepsilon, \theta) = f_0(\varepsilon) + f_1(\varepsilon)\cos\theta $$ The isotropic part $f_0$ satisfies: $$ \frac{d}{d\varepsilon}\left[\varepsilon D \frac{df_0}{d\varepsilon} + \left(V + \frac{\varepsilon\nu_{\text{inel}}}{\nu_m}\right)f_0\right] = 0 $$ #### Common Distribution Functions | Distribution | Functional Form | Applicability | |-------------|-----------------|---------------| | **Maxwellian** | $f(\varepsilon) \propto \sqrt{\varepsilon} \exp\left(-\frac{\varepsilon}{k_BT_e}\right)$ | High pressure, collisional | | **Druyvesteyn** | $f(\varepsilon) \propto \sqrt{\varepsilon} \exp\left(-\left(\frac{\varepsilon}{k_BT_e}\right)^2\right)$ | Elastic collisions dominant | | **Bi-Maxwellian** | Sum of two Maxwellians | Hot tail population | ### Generalized Form $$ f(\varepsilon) \propto \sqrt{\varepsilon} \cdot \exp\left[-\left(\frac{\varepsilon}{k_BT_e}\right)^x\right] $$ - $x = 1$ → Maxwellian - $x = 2$ → Druyvesteyn ## Plasma Chemistry and Reaction Kinetics ### Species Balance Equation For species $i$: $$ \frac{\partial n_i}{\partial t} + \nabla \cdot \mathbf{\Gamma}_i = \sum_j R_j $$ **Where:** - $\mathbf{\Gamma}_i$ — Species flux - $R_j$ — Reaction rates ### Electron-Impact Rate Coefficients Rate coefficients are calculated by integration over the EEDF: $$ k = \int_0^\infty \sigma(\varepsilon) v(\varepsilon) f(\varepsilon) \, d\varepsilon = \langle \sigma v \rangle $$ **Where:** - $\sigma(\varepsilon)$ — Energy-dependent cross-section $[\text{m}^2]$ - $v(\varepsilon) = \sqrt{2\varepsilon/m_e}$ — Electron velocity - $f(\varepsilon)$ — Normalized EEDF ### Heavy-Particle Reactions Arrhenius kinetics for neutral reactions: $$ k = A T^n \exp\left(-\frac{E_a}{k_BT}\right) $$ **Where:** - $A$ — Pre-exponential factor - $n$ — Temperature exponent - $E_a$ — Activation energy ### Example: SF₆/O₂ Plasma Chemistry #### Electron-Impact Reactions | Reaction | Type | Threshold | |----------|------|-----------| | $e + \text{SF}_6 \rightarrow \text{SF}_5 + \text{F} + e$ | Dissociation | ~10 eV | | $e + \text{SF}_6 \rightarrow \text{SF}_6^-$ | Attachment | ~0 eV | | $e + \text{SF}_6 \rightarrow \text{SF}_5^+ + \text{F} + 2e$ | Ionization | ~16 eV | | $e + \text{O}_2 \rightarrow \text{O} + \text{O} + e$ | Dissociation | ~6 eV | #### Gas-Phase Reactions - $\text{F} + \text{O} \rightarrow \text{FO}$ (reduces F atom density) - $\text{SF}_5 + \text{F} \rightarrow \text{SF}_6$ (recombination) - $\text{O} + \text{CF}_3 \rightarrow \text{COF}_2 + \text{F}$ (polymer removal) #### Surface Reactions - $\text{F} + \text{Si}(s) \rightarrow \text{SiF}_{(\text{ads})}$ - $\text{SiF}_{(\text{ads})} + 3\text{F} \rightarrow \text{SiF}_4(g)$ (volatile product) ## Transport Phenomena ### Drift-Diffusion Model For charged species, the flux is: $$ \mathbf{\Gamma} = \pm \mu n \mathbf{E} - D \nabla n $$ **Where:** - Upper sign: positive ions - Lower sign: electrons - $\mu$ — Mobility $[\text{m}^2/(\text{V}\cdot\text{s})]$ - $D$ — Diffusion coefficient $[\text{m}^2/\text{s}]$ ### Einstein Relation Connects mobility and diffusion: $$ D = \frac{\mu k_B T}{e} $$ ### Ambipolar Diffusion When quasi-neutrality holds ($n_e \approx n_i$): $$ D_a = \frac{\mu_i D_e + \mu_e D_i}{\mu_i + \mu_e} \approx D_i\left(1 + \frac{T_e}{T_i}\right) $$ Since $T_e \gg T_i$ typically: $D_a \approx D_i (1 + T_e/T_i) \approx 100 D_i$ ### Neutral Transport For reactive neutrals (radicals), Fickian diffusion: $$ \frac{\partial n}{\partial t} = D\nabla^2 n + S - L $$ #### Surface Boundary Condition $$ -D\frac{\partial n}{\partial x}\bigg|_{\text{surface}} = \frac{1}{4}\gamma n v_{\text{th}} $$ **Where:** - $\gamma$ — Sticking/reaction coefficient (0 to 1) - $v_{\text{th}} = \sqrt{\frac{8k_BT}{\pi m}}$ — Thermal velocity ### Knudsen Number Determines the appropriate transport regime: $$ \text{Kn} = \frac{\lambda}{L} $$ **Where:** - $\lambda$ — Mean free path - $L$ — Characteristic length | Kn Range | Regime | Model | |----------|--------|-------| | $< 0.01$ | Continuum | Navier-Stokes | | $0.01–0.1$ | Slip flow | Modified N-S | | $0.1–10$ | Transition | DSMC/BGK | | $> 10$ | Free molecular | Ballistic | ## Surface Reaction Modeling ### Langmuir Adsorption Kinetics For surface coverage $\theta$: $$ \frac{d\theta}{dt} = k_{\text{ads}}(1-\theta)P - k_{\text{des}}\theta - k_{\text{react}}\theta $$ **At steady state:** $$ \theta = \frac{k_{\text{ads}}P}{k_{\text{ads}}P + k_{\text{des}} + k_{\text{react}}} $$ ### Ion-Enhanced Etching The total etch rate combines multiple mechanisms: $$ \text{ER} = Y_{\text{chem}} \Gamma_n + Y_{\text{phys}} \Gamma_i + Y_{\text{syn}} \Gamma_i f(\theta) $$ **Where:** - $Y_{\text{chem}}$ — Chemical etch yield (isotropic) - $Y_{\text{phys}}$ — Physical sputtering yield - $Y_{\text{syn}}$ — Ion-enhanced (synergistic) yield - $\Gamma_n$, $\Gamma_i$ — Neutral and ion fluxes - $f(\theta)$ — Coverage-dependent function ### Ion Sputtering Yield #### Energy Dependence $$ Y(E) = A\left(\sqrt{E} - \sqrt{E_{\text{th}}}\right) \quad \text{for } E > E_{\text{th}} $$ **Typical threshold energies:** - Si: $E_{\text{th}} \approx 20$ eV - SiO₂: $E_{\text{th}} \approx 30$ eV - Si₃N₄: $E_{\text{th}} \approx 25$ eV #### Angular Dependence $$ Y(\theta) = Y(0) \cos^{-f}(\theta) \exp\left[-b\left(\frac{1}{\cos\theta} - 1\right)\right] $$ **Behavior:** - Increases from normal incidence - Peaks at $\theta \approx 60°–70°$ - Decreases at grazing angles (reflection dominates) ## Feature-Scale Profile Evolution ### Level Set Method The surface is represented as the zero contour of $\phi(\mathbf{x}, t)$: $$ \frac{\partial \phi}{\partial t} + V_n |\nabla \phi| = 0 $$ **Where:** - $\phi > 0$ — Material - $\phi < 0$ — Void/vacuum - $\phi = 0$ — Surface - $V_n$ — Local normal etch velocity ### Local Etch Rate Calculation The normal velocity $V_n$ depends on: 1. **Ion flux and angular distribution** $$\Gamma_i(\mathbf{x}) = \int f(\theta, E) \, d\Omega \, dE$$ 2. **Neutral flux** (with shadowing) $$\Gamma_n(\mathbf{x}) = \Gamma_{n,0} \cdot \text{VF}(\mathbf{x})$$ where VF is the view factor 3. **Surface chemistry state** $$V_n = f(\Gamma_i, \Gamma_n, \theta_{\text{coverage}}, T)$$ ### Neutral Transport in High-Aspect-Ratio Features #### Clausing Transmission Factor For a tube of aspect ratio AR: $$ K \approx \frac{1}{1 + 0.5 \cdot \text{AR}} $$ #### View Factor Calculations For surface element $dA_1$ seeing $dA_2$: $$ F_{1 \rightarrow 2} = \frac{1}{\pi} \int \frac{\cos\theta_1 \cos\theta_2}{r^2} \, dA_2 $$ ## Monte Carlo Methods ### Test-Particle Monte Carlo Algorithm ``` 1. SAMPLE incident particle from flux distribution at feature opening - Ion: from IEDF and IADF - Neutral: from Maxwellian 2. TRACE trajectory through feature - Ion: ballistic, solve equation of motion - Neutral: random walk with wall collisions 3. DETERMINE reaction at surface impact - Sample from probability distribution - Update surface coverage if adsorption 4. UPDATE surface geometry - Remove material (etching) - Add material (deposition) 5. REPEAT for statistically significant sample ``` ### Ion Trajectory Integration Through the sheath/feature: $$ m\frac{d^2\mathbf{r}}{dt^2} = q\mathbf{E}(\mathbf{r}) $$ **Numerical integration:** Velocity-Verlet or Boris algorithm ### Collision Sampling Null-collision method for efficiency: $$ P_{\text{collision}} = 1 - \exp(-\nu_{\text{max}} \Delta t) $$ **Where** $\nu_{\text{max}}$ is the maximum possible collision frequency. ## Multi-Scale Modeling Framework ### Scale Hierarchy | Scale | Length | Time | Physics | Method | |-------|--------|------|---------|--------| | **Reactor** | cm–m | ms–s | Plasma transport, EM fields | Fluid PDE | | **Sheath** | µm–mm | µs–ms | Ion acceleration, EEDF | Kinetic/Fluid | | **Feature** | nm–µm | ns–ms | Profile evolution | Level set/MC | | **Atomic** | Å–nm | ps–ns | Reaction mechanisms | MD/DFT | ### Coupling Approaches #### Hierarchical (One-Way) ``` Atomic scale → Surface parameters ↓ Feature scale ← Fluxes from reactor scale ↓ Reactor scale → Process outputs ``` #### Concurrent (Two-Way) - Feature-scale results feed back to reactor scale - Requires iterative solution - Computationally expensive ## Numerical Methods and Challenges ### Stiff ODE Systems Plasma chemistry involves timescales spanning many orders of magnitude: | Process | Timescale | |---------|-----------| | Electron attachment | $\sim 10^{-10}$ s | | Ion-molecule reactions | $\sim 10^{-6}$ s | | Metastable decay | $\sim 10^{-3}$ s | | Surface diffusion | $\sim 10^{-1}$ s | #### Implicit Methods Required **Backward Differentiation Formula (BDF):** $$ y_{n+1} = \sum_{j=0}^{k-1} \alpha_j y_{n-j} + h\beta f(t_{n+1}, y_{n+1}) $$ ### Spatial Discretization #### Finite Volume Method Ensures mass conservation: $$ \int_V \frac{\partial n}{\partial t} dV + \oint_S \mathbf{\Gamma} \cdot d\mathbf{S} = \int_V S \, dV $$ #### Mesh Requirements - Sheath resolution: $\Delta x < \lambda_D$ - RF skin depth: $\Delta x < \delta$ - Adaptive mesh refinement (AMR) common ### EM-Plasma Coupling **Iterative scheme:** 1. Solve Maxwell's equations for $\mathbf{E}$, $\mathbf{B}$ 2. Update plasma transport (density, temperature) 3. Recalculate $\sigma$, $\varepsilon_{\text{plasma}}$ 4. Repeat until convergence ## Advanced Topics ### Atomic Layer Etching (ALE) Self-limiting reactions for atomic precision: $$ \text{EPC} = \Theta \cdot d_{\text{ML}} $$ **Where:** - EPC — Etch per cycle - $\Theta$ — Modified layer coverage fraction - $d_{\text{ML}}$ — Monolayer thickness #### ALE Cycle 1. **Modification step:** Reactive gas creates modified surface layer $$\frac{d\Theta}{dt} = k_{\text{mod}}(1-\Theta)P_{\text{gas}}$$ 2. **Removal step:** Ion bombardment removes modified layer only $$\text{ER} = Y_{\text{mod}}\Gamma_i\Theta$$ ### Pulsed Plasma Dynamics Time-modulated RF introduces: - **Active glow:** Plasma on, high ion/radical generation - **Afterglow:** Plasma off, selective chemistry #### Ion Energy Modulation By pulsing bias: $$ \langle E_i \rangle = \frac{1}{T}\left[\int_0^{t_{\text{on}}} E_{\text{high}}dt + \int_{t_{\text{on}}}^{T} E_{\text{low}}dt\right] $$ ### High-Aspect-Ratio Etching (HAR) For AR > 50 (memory, 3D NAND): **Challenges:** - Ion angular broadening → bowing - Neutral depletion at bottom - Feature charging → twisting - Mask erosion → tapering **Ion Angular Distribution Broadening:** $$ \sigma_{\text{effective}} = \sqrt{\sigma_{\text{sheath}}^2 + \sigma_{\text{scattering}}^2} $$ **Neutral Flux at Bottom:** $$ \Gamma_{\text{bottom}} \approx \Gamma_{\text{top}} \cdot K(\text{AR}) $$ ### Machine Learning Integration **Applications:** - Surrogate models for fast prediction - Process optimization (Bayesian) - Virtual metrology - Anomaly detection **Physics-Informed Neural Networks (PINNs):** $$ \mathcal{L} = \mathcal{L}_{\text{data}} + \lambda \mathcal{L}_{\text{physics}} $$ Where $\mathcal{L}_{\text{physics}}$ enforces governing equations. ## Validation and Experimental Techniques ### Plasma Diagnostics | Technique | Measurement | Typical Values | |-----------|-------------|----------------| | **Langmuir probe** | $n_e$, $T_e$, EEDF | $10^{9}–10^{12}$ cm⁻³, 1–5 eV | | **OES** | Relative species densities | Qualitative/semi-quantitative | | **APMS** | Ion mass, energy | 1–500 amu, 0–500 eV | | **LIF** | Absolute radical density | $10^{11}–10^{14}$ cm⁻³ | | **Microwave interferometry** | $n_e$ (line-averaged) | $10^{10}–10^{12}$ cm⁻³ | ### Etch Characterization - **Profilometry:** Etch depth, uniformity - **SEM/TEM:** Feature profiles, sidewall angle - **XPS:** Surface composition - **Ellipsometry:** Film thickness, optical properties ### Model Validation Workflow 1. **Plasma validation:** Match $n_e$, $T_e$, species densities 2. **Flux validation:** Compare ion/neutral fluxes to wafer 3. **Etch rate validation:** Blanket wafer etch rates 4. **Profile validation:** Patterned feature cross-sections ## Key Dimensionless Numbers Summary | Number | Definition | Physical Meaning | |--------|------------|------------------| | **Knudsen** | $\text{Kn} = \lambda/L$ | Continuum vs. kinetic | | **Damköhler** | $\text{Da} = \tau_{\text{transport}}/\tau_{\text{reaction}}$ | Transport vs. reaction limited | | **Sticking coefficient** | $\gamma = \text{reactions}/\text{collisions}$ | Surface reactivity | | **Aspect ratio** | $\text{AR} = \text{depth}/\text{width}$ | Feature geometry | | **Debye number** | $N_D = n\lambda_D^3$ | Plasma ideality | ## Physical Constants | Constant | Symbol | Value | |----------|--------|-------| | Elementary charge | $e$ | $1.602 \times 10^{-19}$ C | | Electron mass | $m_e$ | $9.109 \times 10^{-31}$ kg | | Proton mass | $m_p$ | $1.673 \times 10^{-27}$ kg | | Boltzmann constant | $k_B$ | $1.381 \times 10^{-23}$ J/K | | Vacuum permittivity | $\varepsilon_0$ | $8.854 \times 10^{-12}$ F/m | | Vacuum permeability | $\mu_0$ | $4\pi \times 10^{-7}$ H/m |

etch process, etching, dry etch, wet etch, plasma etch, RIE, reactive ion etch, etch selectivity, anisotropic etch

# Semiconductor Manufacturing Etch Process ## 1. Overview Etching is a critical pattern transfer process in semiconductor fabrication. After lithography defines a pattern using photoresist, etching selectively removes material to create transistors, interconnects, and other IC structures. ### 1.1 Fundamental Equation The etch process can be characterized by the **etch rate** $R$: $$ R = \frac{\Delta d}{\Delta t} \quad \text{[nm/min]} $$ where: - $\Delta d$ = thickness removed (nm) - $\Delta t$ = etch time (min) ## 2. Etch Categories ### 2.1 Wet Etching Uses liquid chemicals to dissolve material isotropically. - **Characteristics**: - Isotropic (etches equally in all directions) - High selectivity achievable - Simple and low cost - Batch processing capable - **Common Chemistries**: - $\text{SiO}_2$ etching: $\text{HF}$ (hydrofluoric acid) - Si etching: $\text{HNO}_3 / \text{HF} / \text{CH}_3\text{COOH}$ - **Etch Rate Model** (for $\text{SiO}_2$ in HF): $$ R_{\text{wet}} = A \cdot [\text{HF}]^n \cdot e^{-E_a / k_B T} $$ where: - $A$ = pre-exponential factor - $[\text{HF}]$ = HF concentration - $n$ = reaction order - $E_a$ = activation energy - $k_B$ = Boltzmann constant ($1.38 \times 10^{-23}$ J/K) - $T$ = temperature (K) ### 2.2 Dry Etching (Plasma Etching) Uses plasma containing ions and reactive radicals for anisotropic etching. - **Sub-types**: - Physical Etching (Ion Milling) - Chemical Plasma Etching - Reactive Ion Etching (RIE) - High-Density Plasma (ICP, ECR) - Atomic Layer Etching (ALE) ## 3. Reactive Ion Etching (RIE) ### 3.1 Plasma Generation RF power ionizes feed gas creating: - **Ions** ($\text{Cl}^+$, $\text{F}^+$, $\text{Ar}^+$) → directional bombardment - **Radicals** ($\text{Cl}^*$, $\text{F}^*$) → chemical reaction - **Electrons** ($e^-$) → sustain plasma - **Neutrals** → background species ### 3.2 Ion Energy The ion energy at the wafer is determined by the **plasma potential** $V_p$ and **DC bias** $V_{dc}$: $$ E_{\text{ion}} = e \cdot (V_p - V_{dc}) $$ where: - $e$ = electron charge ($1.6 \times 10^{-19}$ C) - $V_p$ = plasma potential (V) - $V_{dc}$ = DC self-bias voltage (V) ### 3.3 Ion-Enhanced Etching Model The synergistic etch rate combines physical and chemical components: $$ R_{\text{total}} = R_{\text{chem}} + R_{\text{phys}} + R_{\text{synergy}} $$ where typically: $$ R_{\text{synergy}} \gg R_{\text{chem}} + R_{\text{phys}} $$ This **ion-radical synergy** is the foundation of anisotropic plasma etching. ## 4. Key Performance Metrics ### 4.1 Selectivity **Definition**: Ratio of etch rates between target material and mask/stop layer. $$ S = \frac{R_{\text{target}}}{R_{\text{mask}}} $$ - **Example Requirements**: - $\text{Si} : \text{SiO}_2$ selectivity $> 50:1$ - Photoresist selectivity $> 10:1$ - Etch stop selectivity $> 100:1$ (for thin films) ### 4.2 Anisotropy **Definition**: Measure of directional etching preference. $$ A = 1 - \frac{R_{\text{lateral}}}{R_{\text{vertical}}} $$ where: - $A = 1$ → perfectly anisotropic (vertical only) - $A = 0$ → perfectly isotropic - $0 < A < 1$ → partially anisotropic ### 4.3 Uniformity **Within-Wafer Non-Uniformity (WIWNU)**: $$ \text{WIWNU} = \frac{\sigma}{\bar{R}} \times 100\% $$ where: - $\sigma$ = standard deviation of etch rate - $\bar{R}$ = mean etch rate **Target**: WIWNU $< 2\%$ for advanced nodes ### 4.4 Aspect Ratio $$ AR = \frac{H}{W} $$ where: - $H$ = feature depth/height - $W$ = feature width - **Current Challenges**: - Logic contacts: AR $\approx 10:1$ to $20:1$ - 3D NAND channels: AR $> 60:1$ (trending toward $100:1$) - DRAM capacitors: AR $> 50:1$ ## 5. Etch Chemistry ### 5.1 Silicon Etching - **Primary Chemistries**: - $\text{Cl}_2 / \text{HBr}$ — high anisotropy - $\text{SF}_6$ — high rate, more isotropic - $\text{Cl}_2 / \text{HBr} / \text{O}_2$ — with sidewall passivation - **Reaction Mechanism** (Chlorine-based): $$ \text{Si}_{(s)} + 4\text{Cl}^* \rightarrow \text{SiCl}_{4(g)} \uparrow $$ ### 5.2 Silicon Dioxide Etching - **Primary Chemistries**: - $\text{CF}_4$, $\text{C}_4\text{F}_8$, $\text{C}_4\text{F}_6$, $\text{CHF}_3$ - **Reaction Mechanism**: $$ \text{SiO}_{2(s)} + \text{CF}_x^* \rightarrow \text{SiF}_{4(g)} + \text{CO}_{(g)} + \text{CO}_{2(g)} $$ - **Selectivity Control**: C/F ratio in plasma - Higher C/F → more polymer → higher selectivity to Si - Lower C/F → less polymer → faster oxide etch ### 5.3 Metal Etching - **Aluminum**: $\text{Cl}_2 / \text{BCl}_3$ (BCl₃ scavenges H₂O and Al₂O₃) - **Tungsten**: $\text{SF}_6$, $\text{NF}_3$ - **Copper**: Not plasma etchable (damascene process instead) ## 6. High-Density Plasma Sources ### 6.1 Inductively Coupled Plasma (ICP) - **Plasma Density**: $n_e \approx 10^{11} - 10^{12}$ cm⁻³ - **Advantages**: - Independent control of ion flux and ion energy - Higher density than capacitive RIE - Lower operating pressure (1-50 mTorr) ### 6.2 Power Relations **Ion Flux** (proportional to plasma density): $$ \Gamma_i = n_i \cdot v_{\text{Bohm}} = n_i \sqrt{\frac{k_B T_e}{m_i}} $$ where: - $n_i$ = ion density - $T_e$ = electron temperature - $m_i$ = ion mass **Source Power** controls plasma density: $$ n_e \propto \sqrt{P_{\text{source}}} $$ **Bias Power** controls ion energy: $$ E_{\text{ion}} \propto V_{\text{bias}} \propto \sqrt{P_{\text{bias}}} $$ ## 7. Atomic Layer Etching (ALE) ### 7.1 Process Cycle ``` - ┌─────────────────────────────────────────────────────┐ │ Step 1: Surface Modification (Self-limiting) │ │ Cl₂ adsorption → Si-Cl surface bonds │ ├─────────────────────────────────────────────────────┤ │ Step 2: Purge │ │ Remove excess Cl₂ │ ├─────────────────────────────────────────────────────┤ │ Step 3: Removal (Self-limiting) │ │ Low-energy Ar⁺ bombardment │ │ E_ion < E_threshold(Si), > E_threshold(SiCl)│ ├─────────────────────────────────────────────────────┤ │ Step 4: Purge │ │ Remove SiClₓ products │ └─────────────────────────────────────────────────────┘ ↓ Repeat ↓ ``` ### 7.2 Etch Per Cycle (EPC) $$ \text{EPC} \approx 0.3 - 0.5 \text{ nm/cycle} \approx 1 \text{ monolayer} $$ ### 7.3 Energy Window For self-limiting removal, ion energy must satisfy: $$ E_{\text{threshold}}^{\text{modified}} < E_{\text{ion}} < E_{\text{threshold}}^{\text{unmodified}} $$ - **Example for Si ALE**: - $E_{\text{threshold}}(\text{Si-Cl}) \approx 12-15$ eV - $E_{\text{threshold}}(\text{Si}) \approx 25-30$ eV - **Operating window**: $15 < E_{\text{ion}} < 25$ eV ## 8. Etch Challenges at Advanced Nodes ### 8.1 High Aspect Ratio Etching (HARE) - **Ion Angular Distribution Broadening**: $$ \Delta\theta \propto \sqrt{\frac{T_i}{E_{\text{ion}}}} $$ where $T_i$ is ion temperature. - **Knudsen Transport Limitation**: $$ \Gamma_{\text{bottom}} = \Gamma_{\text{top}} \cdot \frac{W}{2H} = \frac{\Gamma_{\text{top}}}{2 \cdot AR} $$ ### 8.2 Aspect Ratio Dependent Etching (ARDE) Etch rate decreases with aspect ratio: $$ R(AR) = R_0 \cdot f(AR) $$ where typically: $$ f(AR) \approx \frac{1}{1 + \beta \cdot AR} $$ with $\beta$ being a process-dependent constant. ### 8.3 Line Edge Roughness (LER) **3σ LER Specification**: $$ \text{LER}_{3\sigma} < 0.1 \times \text{CD} $$ For 20 nm CD: LER $< 2$ nm (3σ) ## 9. Process Control ### 9.1 Endpoint Detection Methods | Method | Principle | Application | |--------|-----------|-------------| | **OES** | Optical Emission Spectroscopy | Monitor plasma species | | **Interferometry** | Laser reflection interference | Real-time thickness | | **RGA** | Residual Gas Analysis | Etch product detection | | **Bias Monitoring** | DC bias change | Layer transition | ### 9.2 OES Endpoint Signal For layer clearing: $$ I_{\text{product}}(t) = I_0 \cdot e^{-t/\tau} \quad \text{(during clear)} $$ where $\tau$ is the decay time constant related to etch rate. ## 10. Key Equations Reference | Parameter | Equation | Units | |-----------|----------|-------| | Etch Rate | $R = \Delta d / \Delta t$ | nm/min | | Selectivity | $S = R_{\text{target}} / R_{\text{mask}}$ | ratio | | Anisotropy | $A = 1 - R_{\text{lat}} / R_{\text{vert}}$ | 0-1 | | Aspect Ratio | $AR = H / W$ | ratio | | Ion Energy | $E = e(V_p - V_{dc})$ | eV | | Uniformity | $\text{WIWNU} = \sigma / \bar{R} \times 100\%$ | % | | Ion Flux | $\Gamma_i = n_i \sqrt{k_B T_e / m_i}$ | cm⁻²s⁻¹ | ## Physical Constants | Constant | Symbol | Value | |----------|--------|-------| | Electron charge | $e$ | $1.602 \times 10^{-19}$ C | | Boltzmann constant | $k_B$ | $1.381 \times 10^{-23}$ J/K | | Electron mass | $m_e$ | $9.109 \times 10^{-31}$ kg | | Avogadro's number | $N_A$ | $6.022 \times 10^{23}$ mol⁻¹ | ## Common Etch Gases - **Silicon Etch**: $\text{Cl}_2$, $\text{HBr}$, $\text{SF}_6$, $\text{NF}_3$ - **Oxide Etch**: $\text{CF}_4$, $\text{CHF}_3$, $\text{C}_4\text{F}_8$, $\text{C}_4\text{F}_6$ - **Nitride Etch**: $\text{CHF}_3$, $\text{CH}_2\text{F}_2$, $\text{CH}_3\text{F}$ - **Metal Etch**: $\text{Cl}_2$, $\text{BCl}_3$, $\text{SF}_6$ - **Passivation**: $\text{O}_2$, $\text{N}_2$, $\text{He}$ - **Carrier/Dilution**: $\text{Ar}$, $\text{He}$, $\text{N}_2$

etch profile modeling, etch profile, plasma etching, level set, arde, rie, profile evolution

# Etch Profile Mathematical Modeling 1. Introduction Plasma etching is a critical step in semiconductor manufacturing where material is selectively removed from a wafer surface. The etch profile—the geometric shape of the etched feature—directly determines device performance, especially as feature sizes shrink below 5 nm. 1.1 Types of Etching - Wet Etching: Uses liquid chemicals; typically isotropic; rarely used for advanced patterning - Dry/Plasma Etching: Uses reactive gases and plasma; can be highly anisotropic; dominant in modern fabrication 1.2 Key Profile Characteristics to Model - Sidewall angle: Ideally $90°$ for anisotropic etching - Etch depth: Controlled by time and etch rate - Undercut: Lateral etching beneath the mask - Taper: Deviation from vertical sidewalls - Bowing: Curved sidewall profile (mid-depth widening) - Notching: Localized undercutting at material interfaces - ARDE: Aspect Ratio Dependent Etching—etch rate variation with feature dimensions - Loading effects: Pattern-density-dependent etch rates 2. Surface Evolution Equations The challenge is tracking a moving boundary under spatially varying, angle-dependent removal rates. 2.1 Level Set Method The surface is the zero level set of $\phi(\mathbf{x}, t)$: $$ \frac{\partial \phi}{\partial t} + V_n |\nabla \phi| = 0 $$ Key quantities: - Unit normal: $\hat{n} = \nabla \phi / |\nabla \phi|$ - Mean curvature: $\kappa = \nabla \cdot \hat{n} = \nabla \cdot (\nabla \phi / |\nabla \phi|)$ 2.2 Advantages - Handles topology changes (merge/split) - Well-defined normals/curvature everywhere - Extends naturally to 3D 2.3 Numerical Notes - Reinitialize to maintain $|\nabla \phi| = 1$ - Upwind schemes (Godunov, ENO/WENO) for stability - Fast Marching and Sparse Field are common 2.4 String/Segment Method (2D) $$ \frac{d\mathbf{r}_i}{dt} = V_n(\mathbf{r}_i) \cdot \hat{n}_i $$ - Advantage: simple implementation - Disadvantage: struggles with topology changes 3. Etch Velocity Models Velocity decomposition: $$ V_n = V_{\text{physical}} + V_{\text{chemical}} + V_{\text{ion-enhanced}} $$ 3.1 Physical Sputtering (Yamamura-Sigmund) $$ Y(\theta, E) = \frac{0.042\, Q(Z_2)\, S_n(E)}{U_s}\Big[1-\sqrt{E_{th}/E}\Big]^s f(\theta) $$ Angular part: $$ f(\theta) = \cos^{-f}(\theta)\, \exp[-\Sigma (1/\cos\theta - 1)] $$ 3.2 Ion-Enhanced Chemical Etching (RIE) $$ R = k_1 \Gamma_F \theta_F + k_2 \Gamma_{\text{ion}} Y_{\text{phys}} + k_3 \Gamma_{\text{ion}}^a \Gamma_F^b (1 + \beta \theta_F) $$ - Term 1: chemical - Term 2: physical sputter - Term 3: synergistic ion-chemical 3.3 Surface Kinetics (Langmuir-Hinshelwood) $$ \frac{d\theta_F}{dt} = s_0 \Gamma_F (1-\theta_F) - k_d \theta_F - k_r \theta_F \Gamma_{\text{ion}} $$ Steady state: $\theta_F = s_0 \Gamma_F / (s_0 \Gamma_F + k_d + k_r \Gamma_{\text{ion}})$ 4. Transport in High-Aspect-Ratio Features 4.1 Knudsen Diffusion (neutrals) $$ \Gamma(z) = \Gamma_0 P(AR), \quad P(AR) \approx \frac{1}{1 + 3AR/8} $$ More exact: $P(L/R) = \tfrac{8R}{3L}(\sqrt{1+(L/R)^2} - 1)$ 4.2 Ion Angular Distribution $$ f(\theta) \propto \exp\Big(-\frac{m_i v_\perp^2}{2k_B T_i}\Big) \cos\theta $$ Mean angle (collisionless sheath): $\langle\theta\rangle \approx \arctan\!\big(\sqrt{T_e/(eV_{\text{sheath}})}\big)$ Shadowing: $\theta_{\max}(z) = \arctan(w/2z)$ 4.3 Sheath Potential $$ V_s \approx \frac{k_B T_e}{2e} \ln\Big(\frac{m_i}{2\pi m_e}\Big) $$ 5. Profile Phenomena 5.1 Bowing (sidewall widening) $$ V_{\text{lateral}}(z) = \int_0^{\theta_{\max}} Y(\theta')\, \Gamma_{\text{reflected}}(\theta', z)\, d\theta' $$ 5.2 Microtrenching (corner enhancement) $$ \Gamma_{\text{corner}} = \Gamma_{\text{direct}} + \int \Gamma_{\text{incident}} R(\theta) G(\text{geometry})\, d\theta $$ 5.3 Notching (charging) Poisson: $\nabla^2 V = -\rho/(\epsilon_0 \epsilon_r)$ Charge balance: $\partial \sigma/\partial t = J_{\text{ion}} - J_{\text{electron}} - J_{\text{secondary}}$ Deflection: $\theta_{\text{deflection}} \approx \arctan\big(q E_{\text{surface}} L / (2 E_{\text{ion}})\big)$ 5.4 ARDE (RIE lag) $$ \frac{ER(AR)}{ER_0} = \frac{1}{1 + \alpha AR^\beta} $$ 6. Computational Approaches - Monte Carlo (feature scale): launch particles, track, reflect/react, accumulate rates - Flux-based / view-factor: $V_n(\mathbf{x}) = \sum_j R_j \Gamma_j(\mathbf{x}) Y_j(\theta(\mathbf{x}))$ - Cellular automata: $P_{\text{etch}}(\text{cell}) = f(\Gamma_{\text{local}}, \text{neighbors}, \text{material})$ - DSMC (gas transport): molecule tracing with probabilistic collisions 7. Multi-Scale Integration | Scale | Range | Physics | Method | |---------|----------|-------------------------------|-------------------------| | Reactor | cm–m | Plasma generation, gas flow | Fluid / hybrid PIC-MCC | | Sheath | μm–mm | Ion acceleration, angles | Kinetic / fluid | | Feature | nm–μm | Transport, surface evolution | Monte Carlo + level set | | Atomic | Å | Reaction mechanisms, yields | MD, DFT | 7.1 Coupling - Reactor → species densities/temps/fluxes to sheath - Sheath → ion/neutral energy-angle distributions to feature - Atomic → yield functions $Y(\theta, E)$ to feature scale 7.2 Governing Equations Summary - Surface evolution: $\partial S/\partial t = V_n \hat{n}$ - Neutral transport: $\mathbf{v}\cdot\nabla f + (\mathbf{F}/m)\cdot\nabla_v f = (\partial f/\partial t)_{\text{coll}}$ - Ion trajectory: $m\, d^2\vec{r}/dt^2 = q(\vec{E} + \vec{v}\times\vec{B})$ 8. Advanced Topics 8.1 Stochastic roughness (LER) $$ \sigma_{LER}^2 = \frac{2}{\pi^2 n_s} \int \frac{PSD(f)}{f^2} \, df $$ 8.2 Pattern-dependent effects (loading) $$ \frac{\partial n}{\partial t} = D\nabla^2 n - k_{\text{etch}} A_{\text{exposed}} n $$ 8.3 Machine Learning Surrogates $$ \text{Profile}(t) = \mathcal{NN}(\text{Process conditions}, \text{Initial geometry}, t) $$ Uses: rapid exploration, inverse optimization, real-time control. 9. Summary and Diagrams 9.1 Complete Flow ```text Plasma Parameters ↓ Ion/Neutral Energy-Angle Distributions ↓ ┌─────────────────────┴─────────────────────┐ ↓ ↓ Transport in Feature Surface Chemistry (Knudsen, charging) (coverage, reactions) ↓ ↓ └─────────────────────┬─────────────────────┘ ↓ Local Etch Velocity Vn(x, θ, Γ, T) ↓ Surface Evolution Equation ∂φ/∂t + Vn|∇φ| = 0 ↓ Etch Profile ``` 9.2 Equations | Phenomenon | Equation | |----------------------|-------------------------------------------------| | Level set evolution | $\partial \phi/\partial t + V_n \|\nabla \phi\| = 0$ | | Angular yield | $Y(\theta) = Y_0 \cos^{-f}(\theta) \exp[-\Sigma(1/\cos\theta - 1)]$ | | ARDE | $ER(AR)/ER_0 = 1/(1 + \alpha AR^\beta)$ | | Transmission prob. | $P(AR) = 1/(1 + 3AR/8)$ | | Surface coverage | $\theta_F = s_0\Gamma_F / (s_0\Gamma_F + k_d + k_r\Gamma_{\text{ion}})$ | 9.3 Mathematical Elegance - Geometry via $\phi$ evolution - Physics via $V_n$ models Modular structure enables independent improvement of geometry and physics.

etch profile, plasma etching, level set, ARDE, RIE, monte carlo, surface evolution

# Plasma Etch Modeling Introduction Plasma etching is a critical process in semiconductor manufacturing where reactive gases are ionized to create a plasma, which selectively removes material from a wafer surface. The mathematical modeling of this process spans multiple physics domains: - Electromagnetic theory — RF power coupling and field distributions - Statistical mechanics — Particle distributions and kinetic theory - Reaction kinetics — Gas-phase and surface chemistry - Transport phenomena — Species diffusion and convection - Surface science — Etch mechanisms and selectivity Foundational Plasma Physics Boltzmann Transport Equation The most fundamental description of plasma behavior is the Boltzmann transport equation , governing the evolution of the particle velocity distribution function $f(\mathbf{r}, \mathbf{v}, t)$: $$ \frac{\partial f}{\partial t} + \mathbf{v} \cdot \nabla f + \frac{\mathbf{F}}{m} \cdot \nabla_v f = \left(\frac{\partial f}{\partial t}\right)_{\text{collision}} $$ Where: - $f(\mathbf{r}, \mathbf{v}, t)$ — Velocity distribution function - $\mathbf{v}$ — Particle velocity - $\mathbf{F}$ — External force (electromagnetic) - $m$ — Particle mass - RHS — Collision integral Fluid Moment Equations For computational tractability, velocity moments of the Boltzmann equation yield fluid equations: Continuity Equation (Mass Conservation) $$ \frac{\partial n}{\partial t} + \nabla \cdot (n\mathbf{u}) = S - L $$ Where: - $n$ — Species number density $[\text{m}^{-3}]$ - $\mathbf{u}$ — Drift velocity $[\text{m/s}]$ - $S$ — Source term (generation rate) - $L$ — Loss term (consumption rate) Momentum Conservation $$ \frac{\partial (nm\mathbf{u})}{\partial t} + \nabla \cdot (nm\mathbf{u}\mathbf{u}) + \nabla p = nq(\mathbf{E} + \mathbf{u} \times \mathbf{B}) - nm\nu_m \mathbf{u} $$ Where: - $p = nk_BT$ — Pressure - $q$ — Particle charge - $\mathbf{E}$, $\mathbf{B}$ — Electric and magnetic fields - $\nu_m$ — Momentum transfer collision frequency $[\text{s}^{-1}]$ Energy Conservation $$ \frac{\partial}{\partial t}\left(\frac{3}{2}nk_BT\right) + \nabla \cdot \mathbf{q} + p\nabla \cdot \mathbf{u} = Q_{\text{heating}} - Q_{\text{loss}} $$ Where: - $k_B = 1.38 \times 10^{-23}$ J/K — Boltzmann constant - $\mathbf{q}$ — Heat flux vector - $Q_{\text{heating}}$ — Power input (Joule heating, stochastic heating) - $Q_{\text{loss}}$ — Energy losses (collisions, radiation) Electromagnetic Field Coupling Maxwell's Equations For capacitively coupled plasma (CCP) and inductively coupled plasma (ICP) reactors: $$ \nabla \times \mathbf{E} = -\frac{\partial \mathbf{B}}{\partial t} $$ $$ \nabla \times \mathbf{H} = \mathbf{J} + \frac{\partial \mathbf{D}}{\partial t} $$ $$ \nabla \cdot \mathbf{D} = \rho $$ $$ \nabla \cdot \mathbf{B} = 0 $$ Plasma Conductivity The plasma current density couples through the complex conductivity: $$ \mathbf{J} = \sigma \mathbf{E} $$ For RF plasmas, the complex conductivity is: $$ \sigma = \frac{n_e e^2}{m_e(\nu_m + i\omega)} $$ Where: - $n_e$ — Electron density - $e = 1.6 \times 10^{-19}$ C — Elementary charge - $m_e = 9.1 \times 10^{-31}$ kg — Electron mass - $\omega$ — RF angular frequency - $\nu_m$ — Electron-neutral collision frequency Power Deposition Time-averaged power density deposited into the plasma: $$ P = \frac{1}{2}\text{Re}(\mathbf{J} \cdot \mathbf{E}^*) $$ Typical values: - CCP: $0.1 - 1$ W/cm³ - ICP: $0.5 - 5$ W/cm³ Plasma Sheath Physics The sheath is a thin, non-neutral region at the plasma-wafer interface that accelerates ions toward the surface, enabling anisotropic etching. Bohm Criterion Minimum ion velocity entering the sheath: $$ u_i \geq u_B = \sqrt{\frac{k_B T_e}{M_i}} $$ Where: - $u_B$ — Bohm velocity - $T_e$ — Electron temperature (typically 2–5 eV) - $M_i$ — Ion mass Example: For Ar⁺ ions with $T_e = 3$ eV: $$ u_B = \sqrt{\frac{3 \times 1.6 \times 10^{-19}}{40 \times 1.67 \times 10^{-27}}} \approx 2.7 \text{ km/s} $$ Child-Langmuir Law For a collisionless sheath, the ion current density is: $$ J = \frac{4\varepsilon_0}{9}\sqrt{\frac{2e}{M_i}} \cdot \frac{V_s^{3/2}}{d^2} $$ Where: - $\varepsilon_0 = 8.85 \times 10^{-12}$ F/m — Vacuum permittivity - $V_s$ — Sheath voltage drop (typically 10–500 V) - $d$ — Sheath thickness Sheath Thickness The sheath thickness scales as: $$ d \approx \lambda_D \left(\frac{2eV_s}{k_BT_e}\right)^{3/4} $$ Where the Debye length is: $$ \lambda_D = \sqrt{\frac{\varepsilon_0 k_B T_e}{n_e e^2}} $$ Ion Angular Distribution Ions arrive at the wafer with an angular distribution: $$ f(\theta) \propto \exp\left(-\frac{\theta^2}{2\sigma^2}\right) $$ Where: $$ \sigma \approx \arctan\left(\sqrt{\frac{k_B T_i}{eV_s}}\right) $$ Typical values: $\sigma \approx 2°–5°$ for high-bias conditions. Electron Energy Distribution Function Non-Maxwellian Distributions In low-pressure plasmas (1–100 mTorr), the EEDF deviates from Maxwellian. Two-Term Approximation The EEDF is expanded as: $$ f(\varepsilon, \theta) = f_0(\varepsilon) + f_1(\varepsilon)\cos\theta $$ The isotropic part $f_0$ satisfies: $$ \frac{d}{d\varepsilon}\left[\varepsilon D \frac{df_0}{d\varepsilon} + \left(V + \frac{\varepsilon\nu_{\text{inel}}}{\nu_m}\right)f_0\right] = 0 $$ Common Distribution Functions | Distribution | Functional Form | Applicability | |-------------|-----------------|---------------| | Maxwellian | $f(\varepsilon) \propto \sqrt{\varepsilon} \exp\left(-\frac{\varepsilon}{k_BT_e}\right)$ | High pressure, collisional | | Druyvesteyn | $f(\varepsilon) \propto \sqrt{\varepsilon} \exp\left(-\left(\frac{\varepsilon}{k_BT_e}\right)^2\right)$ | Elastic collisions dominant | | Bi-Maxwellian | Sum of two Maxwellians | Hot tail population | Generalized Form $$ f(\varepsilon) \propto \sqrt{\varepsilon} \cdot \exp\left[-\left(\frac{\varepsilon}{k_BT_e}\right)^x\right] $$ - $x = 1$ → Maxwellian - $x = 2$ → Druyvesteyn Plasma Chemistry and Reaction Kinetics Species Balance Equation For species $i$: $$ \frac{\partial n_i}{\partial t} + \nabla \cdot \mathbf{\Gamma}_i = \sum_j R_j $$ Where: - $\mathbf{\Gamma}_i$ — Species flux - $R_j$ — Reaction rates Electron-Impact Rate Coefficients Rate coefficients are calculated by integration over the EEDF: $$ k = \int_0^\infty \sigma(\varepsilon) v(\varepsilon) f(\varepsilon) \, d\varepsilon = \langle \sigma v \rangle $$ Where: - $\sigma(\varepsilon)$ — Energy-dependent cross-section $[\text{m}^2]$ - $v(\varepsilon) = \sqrt{2\varepsilon/m_e}$ — Electron velocity - $f(\varepsilon)$ — Normalized EEDF Heavy-Particle Reactions Arrhenius kinetics for neutral reactions: $$ k = A T^n \exp\left(-\frac{E_a}{k_BT}\right) $$ Where: - $A$ — Pre-exponential factor - $n$ — Temperature exponent - $E_a$ — Activation energy Example: SF₆/O₂ Plasma Chemistry Electron-Impact Reactions | Reaction | Type | Threshold | |----------|------|-----------| | $e + \text{SF}_6 \rightarrow \text{SF}_5 + \text{F} + e$ | Dissociation | ~10 eV | | $e + \text{SF}_6 \rightarrow \text{SF}_6^-$ | Attachment | ~0 eV | | $e + \text{SF}_6 \rightarrow \text{SF}_5^+ + \text{F} + 2e$ | Ionization | ~16 eV | | $e + \text{O}_2 \rightarrow \text{O} + \text{O} + e$ | Dissociation | ~6 eV | Gas-Phase Reactions - $\text{F} + \text{O} \rightarrow \text{FO}$ (reduces F atom density) - $\text{SF}_5 + \text{F} \rightarrow \text{SF}_6$ (recombination) - $\text{O} + \text{CF}_3 \rightarrow \text{COF}_2 + \text{F}$ (polymer removal) Surface Reactions - $\text{F} + \text{Si}(s) \rightarrow \text{SiF}_{(\text{ads})}$ - $\text{SiF}_{(\text{ads})} + 3\text{F} \rightarrow \text{SiF}_4(g)$ (volatile product) Transport Phenomena Drift-Diffusion Model For charged species, the flux is: $$ \mathbf{\Gamma} = \pm \mu n \mathbf{E} - D \nabla n $$ Where: - Upper sign: positive ions - Lower sign: electrons - $\mu$ — Mobility $[\text{m}^2/(\text{V}\cdot\text{s})]$ - $D$ — Diffusion coefficient $[\text{m}^2/\text{s}]$ Einstein Relation Connects mobility and diffusion: $$ D = \frac{\mu k_B T}{e} $$ Ambipolar Diffusion When quasi-neutrality holds ($n_e \approx n_i$): $$ D_a = \frac{\mu_i D_e + \mu_e D_i}{\mu_i + \mu_e} \approx D_i\left(1 + \frac{T_e}{T_i}\right) $$ Since $T_e \gg T_i$ typically: $D_a \approx D_i (1 + T_e/T_i) \approx 100 D_i$ Neutral Transport For reactive neutrals (radicals), Fickian diffusion: $$ \frac{\partial n}{\partial t} = D\nabla^2 n + S - L $$ Surface Boundary Condition $$ -D\frac{\partial n}{\partial x}\bigg|_{\text{surface}} = \frac{1}{4}\gamma n v_{\text{th}} $$ Where: - $\gamma$ — Sticking/reaction coefficient (0 to 1) - $v_{\text{th}} = \sqrt{\frac{8k_BT}{\pi m}}$ — Thermal velocity Knudsen Number Determines the appropriate transport regime: $$ \text{Kn} = \frac{\lambda}{L} $$ Where: - $\lambda$ — Mean free path - $L$ — Characteristic length | Kn Range | Regime | Model | |----------|--------|-------| | $< 0.01$ | Continuum | Navier-Stokes | | $0.01–0.1$ | Slip flow | Modified N-S | | $0.1–10$ | Transition | DSMC/BGK | | $> 10$ | Free molecular | Ballistic | Surface Reaction Modeling Langmuir Adsorption Kinetics For surface coverage $\theta$: $$ \frac{d\theta}{dt} = k_{\text{ads}}(1-\theta)P - k_{\text{des}}\theta - k_{\text{react}}\theta $$ At steady state: $$ \theta = \frac{k_{\text{ads}}P}{k_{\text{ads}}P + k_{\text{des}} + k_{\text{react}}} $$ Ion-Enhanced Etching The total etch rate combines multiple mechanisms: $$ \text{ER} = Y_{\text{chem}} \Gamma_n + Y_{\text{phys}} \Gamma_i + Y_{\text{syn}} \Gamma_i f(\theta) $$ Where: - $Y_{\text{chem}}$ — Chemical etch yield (isotropic) - $Y_{\text{phys}}$ — Physical sputtering yield - $Y_{\text{syn}}$ — Ion-enhanced (synergistic) yield - $\Gamma_n$, $\Gamma_i$ — Neutral and ion fluxes - $f(\theta)$ — Coverage-dependent function Ion Sputtering Yield Energy Dependence $$ Y(E) = A\left(\sqrt{E} - \sqrt{E_{\text{th}}}\right) \quad \text{for } E > E_{\text{th}} $$ Typical threshold energies: - Si: $E_{\text{th}} \approx 20$ eV - SiO₂: $E_{\text{th}} \approx 30$ eV - Si₃N₄: $E_{\text{th}} \approx 25$ eV Angular Dependence $$ Y(\theta) = Y(0) \cos^{-f}(\theta) \exp\left[-b\left(\frac{1}{\cos\theta} - 1\right)\right] $$ Behavior: - Increases from normal incidence - Peaks at $\theta \approx 60°–70°$ - Decreases at grazing angles (reflection dominates) Feature-Scale Profile Evolution Level Set Method The surface is represented as the zero contour of $\phi(\mathbf{x}, t)$: $$ \frac{\partial \phi}{\partial t} + V_n |\nabla \phi| = 0 $$ Where: - $\phi > 0$ — Material - $\phi < 0$ — Void/vacuum - $\phi = 0$ — Surface - $V_n$ — Local normal etch velocity Local Etch Rate Calculation The normal velocity $V_n$ depends on: 1. Ion flux and angular distribution $$\Gamma_i(\mathbf{x}) = \int f(\theta, E) \, d\Omega \, dE$$ 2. Neutral flux (with shadowing) $$\Gamma_n(\mathbf{x}) = \Gamma_{n,0} \cdot \text{VF}(\mathbf{x})$$ where VF is the view factor 3. Surface chemistry state $$V_n = f(\Gamma_i, \Gamma_n, \theta_{\text{coverage}}, T)$$ Neutral Transport in High-Aspect-Ratio Features Clausing Transmission Factor For a tube of aspect ratio AR: $$ K \approx \frac{1}{1 + 0.5 \cdot \text{AR}} $$ View Factor Calculations For surface element $dA_1$ seeing $dA_2$: $$ F_{1 \rightarrow 2} = \frac{1}{\pi} \int \frac{\cos\theta_1 \cos\theta_2}{r^2} \, dA_2 $$ Monte Carlo Methods Test-Particle Monte Carlo Algorithm ``` 1. SAMPLE incident particle from flux distribution at feature opening - Ion: from IEDF and IADF - Neutral: from Maxwellian 2. TRACE trajectory through feature - Ion: ballistic, solve equation of motion - Neutral: random walk with wall collisions 3. DETERMINE reaction at surface impact - Sample from probability distribution - Update surface coverage if adsorption 4. UPDATE surface geometry - Remove material (etching) - Add material (deposition) 5. REPEAT for statistically significant sample ``` Ion Trajectory Integration Through the sheath/feature: $$ m\frac{d^2\mathbf{r}}{dt^2} = q\mathbf{E}(\mathbf{r}) $$ Numerical integration: Velocity-Verlet or Boris algorithm Collision Sampling Null-collision method for efficiency: $$ P_{\text{collision}} = 1 - \exp(-\nu_{\text{max}} \Delta t) $$ Where $\nu_{\text{max}}$ is the maximum possible collision frequency. Multi-Scale Modeling Framework Scale Hierarchy | Scale | Length | Time | Physics | Method | |-------|--------|------|---------|--------| | Reactor | cm–m | ms–s | Plasma transport, EM fields | Fluid PDE | | Sheath | µm–mm | µs–ms | Ion acceleration, EEDF | Kinetic/Fluid | | Feature | nm–µm | ns–ms | Profile evolution | Level set/MC | | Atomic | Å–nm | ps–ns | Reaction mechanisms | MD/DFT | Coupling Approaches Hierarchical (One-Way) ``` Atomic scale → Surface parameters ↓ Feature scale ← Fluxes from reactor scale ↓ Reactor scale → Process outputs ``` Concurrent (Two-Way) - Feature-scale results feed back to reactor scale - Requires iterative solution - Computationally expensive Numerical Methods and Challenges Stiff ODE Systems Plasma chemistry involves timescales spanning many orders of magnitude: | Process | Timescale | |---------|-----------| | Electron attachment | $\sim 10^{-10}$ s | | Ion-molecule reactions | $\sim 10^{-6}$ s | | Metastable decay | $\sim 10^{-3}$ s | | Surface diffusion | $\sim 10^{-1}$ s | Implicit Methods Required Backward Differentiation Formula (BDF): $$ y_{n+1} = \sum_{j=0}^{k-1} \alpha_j y_{n-j} + h\beta f(t_{n+1}, y_{n+1}) $$ Spatial Discretization Finite Volume Method Ensures mass conservation: $$ \int_V \frac{\partial n}{\partial t} dV + \oint_S \mathbf{\Gamma} \cdot d\mathbf{S} = \int_V S \, dV $$ Mesh Requirements - Sheath resolution: $\Delta x < \lambda_D$ - RF skin depth: $\Delta x < \delta$ - Adaptive mesh refinement (AMR) common EM-Plasma Coupling Iterative scheme: 1. Solve Maxwell's equations for $\mathbf{E}$, $\mathbf{B}$ 2. Update plasma transport (density, temperature) 3. Recalculate $\sigma$, $\varepsilon_{\text{plasma}}$ 4. Repeat until convergence Advanced Topics Atomic Layer Etching (ALE) Self-limiting reactions for atomic precision: $$ \text{EPC} = \Theta \cdot d_{\text{ML}} $$ Where: - EPC — Etch per cycle - $\Theta$ — Modified layer coverage fraction - $d_{\text{ML}}$ — Monolayer thickness ALE Cycle 1. Modification step: Reactive gas creates modified surface layer $$\frac{d\Theta}{dt} = k_{\text{mod}}(1-\Theta)P_{\text{gas}}$$ 2. Removal step: Ion bombardment removes modified layer only $$\text{ER} = Y_{\text{mod}}\Gamma_i\Theta$$ Pulsed Plasma Dynamics Time-modulated RF introduces: - Active glow: Plasma on, high ion/radical generation - Afterglow: Plasma off, selective chemistry Ion Energy Modulation By pulsing bias: $$ \langle E_i \rangle = \frac{1}{T}\left[\int_0^{t_{\text{on}}} E_{\text{high}}dt + \int_{t_{\text{on}}}^{T} E_{\text{low}}dt\right] $$ High-Aspect-Ratio Etching (HAR) For AR > 50 (memory, 3D NAND): Challenges: - Ion angular broadening → bowing - Neutral depletion at bottom - Feature charging → twisting - Mask erosion → tapering Ion Angular Distribution Broadening: $$ \sigma_{\text{effective}} = \sqrt{\sigma_{\text{sheath}}^2 + \sigma_{\text{scattering}}^2} $$ Neutral Flux at Bottom: $$ \Gamma_{\text{bottom}} \approx \Gamma_{\text{top}} \cdot K(\text{AR}) $$ Machine Learning Integration Applications: - Surrogate models for fast prediction - Process optimization (Bayesian) - Virtual metrology - Anomaly detection Physics-Informed Neural Networks (PINNs): $$ \mathcal{L} = \mathcal{L}_{\text{data}} + \lambda \mathcal{L}_{\text{physics}} $$ Where $\mathcal{L}_{\text{physics}}$ enforces governing equations. Validation and Experimental Techniques Plasma Diagnostics | Technique | Measurement | Typical Values | |-----------|-------------|----------------| | Langmuir probe | $n_e$, $T_e$, EEDF | $10^{9}–10^{12}$ cm⁻³, 1–5 eV | | OES | Relative species densities | Qualitative/semi-quantitative | | APMS | Ion mass, energy | 1–500 amu, 0–500 eV | | LIF | Absolute radical density | $10^{11}–10^{14}$ cm⁻³ | | Microwave interferometry | $n_e$ (line-averaged) | $10^{10}–10^{12}$ cm⁻³ | Etch Characterization - Profilometry: Etch depth, uniformity - SEM/TEM: Feature profiles, sidewall angle - XPS: Surface composition - Ellipsometry: Film thickness, optical properties Model Validation Workflow 1. Plasma validation: Match $n_e$, $T_e$, species densities 2. Flux validation: Compare ion/neutral fluxes to wafer 3. Etch rate validation: Blanket wafer etch rates 4. Profile validation: Patterned feature cross-sections Dimensionless Numbers Summary | Number | Definition | Physical Meaning | |--------|------------|------------------| | Knudsen | $\text{Kn} = \lambda/L$ | Continuum vs. kinetic | | Damköhler | $\text{Da} = \tau_{\text{transport}}/\tau_{\text{reaction}}$ | Transport vs. reaction limited | | Sticking coefficient | $\gamma = \text{reactions}/\text{collisions}$ | Surface reactivity | | Aspect ratio | $\text{AR} = \text{depth}/\text{width}$ | Feature geometry | | Debye number | $N_D = n\lambda_D^3$ | Plasma ideality | Key Physical Constants | Constant | Symbol | Value | |----------|--------|-------| | Elementary charge | $e$ | $1.602 \times 10^{-19}$ C | | Electron mass | $m_e$ | $9.109 \times 10^{-31}$ kg | | Proton mass | $m_p$ | $1.673 \times 10^{-27}$ kg | | Boltzmann constant | $k_B$ | $1.381 \times 10^{-23}$ J/K | | Vacuum permittivity | $\varepsilon_0$ | $8.854 \times 10^{-12}$ F/m | | Vacuum permeability | $\mu_0$ | $4\pi \times 10^{-7}$ H/m |

etch proximity effect,etch

Etch rate varies with local pattern density.

etch rate,etch

Speed at which material is removed (nm/min).

etch stop on crystal plane, process

Etch preferentially stops at certain planes.

etch stop,etch

Intentional layer that stops or slows etch protecting underlying material.

etch uniformity,etch

Variation in etch depth or CD across wafer.

etching simulation, simulation

Model material removal profiles.

ethics,bias,fairness

I can discuss high-level AI ethics, bias risks, and mitigations, and help you think about responsible uses of your system.

euclidean distance,l2,metric

Euclidean distance is L2 metric. Straight-line distance.

euclidean distance,vector db

Straight-line distance between vectors.

euler method sampling, generative models

Simple ODE solver for diffusion.

euphemism detection,nlp

Identify mild expressions for harsh concepts.

eutectic bonding, advanced packaging

Bond using eutectic alloy formation.

eutectic die attach, packaging

Use eutectic solder.

euv lithography, extreme ultraviolet, 13.5nm wavelength, asml euv, high-na euv, semiconductor lithography, advanced nodes, euv mask, euv optics

# EUV: Extreme Ultraviolet Lithography ## Overview **EUV (Extreme Ultraviolet Lithography)** is a next-generation semiconductor manufacturing technology that uses extreme ultraviolet light with a wavelength of **13.5 nm** to pattern nanoscale features on silicon wafers. ## 1. Fundamental Physics ### 1.1 Wavelength and Resolution The resolution limit in optical lithography is governed by the **Rayleigh criterion**: $$ R = k_1 \cdot \frac{\lambda}{NA} $$ Where: - $R$ = minimum resolvable feature size (nm) - $k_1$ = process-dependent coefficient (typically $0.25 - 0.5$) - $\lambda$ = wavelength of light (nm) - $NA$ = numerical aperture of the optical system ### 1.2 Wavelength Comparison | Technology | Wavelength ($\lambda$) | Ratio to EUV | |------------|------------------------|--------------| | DUV (KrF) | $248 \text{ nm}$ | $18.4\times$ | | DUV (ArF) | $193 \text{ nm}$ | $14.3\times$ | | **EUV** | $13.5 \text{ nm}$ | $1\times$ | ### 1.3 Depth of Focus The depth of focus (DOF) is critical for process control: $$ DOF = k_2 \cdot \frac{\lambda}{NA^2} $$ Where $k_2$ is another process-dependent factor. ## 2. EUV Light Source ### 2.1 Laser-Produced Plasma (LPP) Method EUV light is generated through a **Laser-Produced Plasma (LPP)** process: ``` - ┌─────────────────────────────────────────────────────────┐ │ EUV Light Generation │ ├─────────────────────────────────────────────────────────┤ │ 1. Tin (Sn) droplets → 25 μm diameter │ │ 2. Droplet velocity → 70 m/s │ │ 3. CO₂ laser power → ~20-30 kW │ │ 4. Plasma temperature → ~500,000°C │ │ 5. Repetition rate → 50,000 Hz │ └─────────────────────────────────────────────────────────┘ ``` ### 2.2 Conversion Efficiency The conversion efficiency ($CE$) from laser power to EUV power: $$ CE = \frac{P_{EUV}}{P_{laser}} \times 100\% $$ Typical values: - Current systems: $CE \approx 5-6\%$ - Target EUV power at source: $P_{EUV} \geq 500 \text{ W}$ ### 2.3 Tin Droplet Dynamics The droplet generation follows: $$ f = \frac{v}{d} $$ Where: - $f$ = droplet frequency (Hz) - $v$ = droplet velocity ($\text{m/s}$) - $d$ = droplet spacing ($\text{m}$) ## 3. Optical System ### 3.1 Reflective Optics Requirement EUV is absorbed by all materials, requiring **reflective optics** instead of refractive lenses. **Absorption coefficient** in materials: $$ I(x) = I_0 \cdot e^{-\alpha x} $$ Where: - $I(x)$ = intensity at depth $x$ - $I_0$ = initial intensity - $\alpha$ = absorption coefficient ($\text{nm}^{-1}$) ### 3.2 Multilayer Mirror (MLM) Design Bragg reflection condition for multilayer mirrors: $$ m\lambda = 2d\sin\theta $$ Where: - $m$ = diffraction order (integer) - $\lambda = 13.5 \text{ nm}$ - $d$ = bilayer period thickness - $\theta$ = angle of incidence **Mirror Stack Composition:** - **Material pair:** Molybdenum (Mo) / Silicon (Si) - **Number of bilayers:** $N \approx 40-50$ - **Bilayer period:** $d \approx 6.9 \text{ nm}$ - **Mo layer thickness:** $\approx 2.8 \text{ nm}$ - **Si layer thickness:** $\approx 4.1 \text{ nm}$ ### 3.3 Reflectivity Calculation Peak reflectivity for $N$ bilayers: $$ R_{peak} \approx \tanh^2\left(\frac{N \cdot \Delta n \cdot \pi}{\lambda / d}\right) $$ Practical single-mirror reflectivity: $R \approx 67-70\%$ ### 3.4 Total System Transmission With $n$ mirrors in the optical path: $$ T_{total} = \prod_{i=1}^{n} R_i = R^n $$ For a typical 6-mirror system with $R = 0.68$: $$ T_{total} = (0.68)^6 \approx 0.099 \approx 10\% $$ ## 4. Technical Specifications ### 4.1 ASML EUV Scanner Specifications ``` - ┌────────────────────────────────────────────────────────────┐ │ ASML NXE:3600D Specifications │ ├──────────────────────────┬─────────────────────────────────┤ │ Parameter │ Value │ ├──────────────────────────┼─────────────────────────────────┤ │ Wavelength │ 13.5 nm │ │ Numerical Aperture (NA) │ 0.33 │ │ Resolution │ < 13 nm (half-pitch) │ │ Throughput │ > 160 wafers/hour │ │ Overlay │ < 1.4 nm │ │ Source Power │ ≥ 500 W │ │ Machine Weight │ ~180 tons │ │ Power Consumption │ ~1 MW │ │ Price │ $150-200 million │ └──────────────────────────┴─────────────────────────────────┘ ``` ### 4.2 High-NA EUV (Next Generation) **ASML TWINSCAN EXE:5000 Series:** | Parameter | Standard EUV | High-NA EUV | |-----------|--------------|-------------| | NA | $0.33$ | $0.55$ | | Resolution| $\sim13$ nm | $\sim8$ nm | | Price | $150-200M | $350M+ | Resolution improvement factor: $$ \frac{R_{standard}}{R_{High-NA}} = \frac{NA_{High-NA}}{NA_{standard}} = \frac{0.55}{0.33} \approx 1.67 $$ ## 5. Process Nodes Enabled ### 5.1 Technology Node Progression ``` Timeline of EUV Adoption: ───────────────────────────────────────────────────────────── 2019 │ 7nm (N7+) │ TSMC, Samsung │ Single EUV layer 2020 │ 5nm (N5) │ TSMC, Samsung │ ~14 EUV layers 2022 │ 3nm (N3) │ TSMC, Samsung │ ~20+ EUV layers 2024 │ 2nm (N2) │ Intel, TSMC │ High-NA EUV 2025+ │ A14/1.4nm │ TSMC │ High-NA EUV ───────────────────────────────────────────────────────────── ``` ### 5.2 Transistor Density Transistor density scaling: $$ D_n = D_0 \cdot S^{2n} $$ Where: - $D_n$ = density at node $n$ - $D_0$ = reference density - $S$ = scaling factor per node ($\approx 0.7$ linear, $\approx 0.5$ area) ## 6. Key Challenges ### 6.1 Stochastic Effects At EUV wavelengths, photon shot noise becomes significant: $$ SNR = \frac{N}{\sqrt{N}} = \sqrt{N} $$ Where $N$ = number of photons per pixel. **Line Edge Roughness (LER):** $$ LER \propto \frac{1}{\sqrt{Dose}} $$ ### 6.2 Photoresist Requirements **Resist sensitivity** (dose-to-clear): $$ E_0 \approx 20-40 \text{ mJ/cm}^2 $$ **Resolution-Line Edge Roughness-Sensitivity (RLS) Trade-off:** $$ Z = R^3 \cdot L^2 \cdot S $$ Where $Z$ is a figure of merit (lower is better). ### 6.3 Mask Defect Density Critical defect density requirement: $$ D_0 < 0.001 \text{ defects/cm}^2 $$ For killer defects larger than: $$ d_{defect} > \frac{\lambda}{4 \cdot NA} \approx 10 \text{ nm} $$ ## 7. Economic Considerations ### 7.1 Cost of Ownership (CoO) $$ CoO = \frac{C_{tool} + C_{maintenance} + C_{consumables}}{N_{wafers} \cdot Y} $$ Where: - $C_{tool}$ = tool acquisition cost - $C_{maintenance}$ = annual maintenance - $C_{consumables}$ = masks, resists, etc. - $N_{wafers}$ = wafers processed annually - $Y$ = yield ### 7.2 Cost per Wafer Layer Approximate cost comparison: | Technology | Cost per Layer | |------------|----------------| | 193i (single) | $15-25 | | 193i (quad-patterning) | $60-100 | | EUV (single) | $75-100 | **Break-even point:** EUV becomes economical when: $$ C_{EUV} < n \cdot C_{193i} $$ Where $n \geq 3$ patterning steps. ## 8. Key Components ### 8.1 System Block Diagram ``` - ┌─────────────────────────────────────────────────────────────────┐ │ EUV Lithography System │ │ │ │ ┌──────────┐ ┌──────────┐ ┌──────────┐ ┌──────────┐ │ │ │ Tin │───▶│ Laser │───▶│ Plasma │───▶│ EUV │ │ │ │ Droplet │ │ System │ │ │ │ Light │ │ │ │Generator │ │ (CO₂) │ │(500,000K)│ │ (13.5nm) │ │ │ └──────────┘ └──────────┘ └──────────┘ └────┬─────┘ │ │ │ │ │ ▼ │ │ ┌──────────┐ ┌──────────┐ ┌──────────┐ ┌──────────┐ │ │ │ Wafer │◀───│Projection│◀───│ Mask │◀───│Collector │ │ │ │ Stage │ │ Optics │ │(Reticle) │ │ Optics │ │ │ └──────────┘ └──────────┘ └──────────┘ └──────────┘ │ │ │ │ All in HIGH VACUUM (~10⁻² Pa) │ └─────────────────────────────────────────────────────────────────┘ ``` ### 8.2 Critical Specifications Summary - **Wavelength:** $\lambda = 13.5 \text{ nm}$ - **Photon energy:** $E = \frac{hc}{\lambda} = \frac{6.626 \times 10^{-34} \cdot 3 \times 10^8}{13.5 \times 10^{-9}} \approx 92 \text{ eV}$ - **Numerical aperture:** $NA = 0.33$ (standard), $0.55$ (High-NA) - **Resolution:** $R_{min} \approx k_1 \cdot \frac{13.5}{0.33} \approx 10-13 \text{ nm}$ - **Vacuum requirement:** $P < 10^{-2} \text{ Pa}$ ## 9. Geopolitical Significance ### 9.1 Supply Chain Concentration ``` - ┌────────────────────────────────────────────────────────┐ │ EUV Supply Chain Chokepoints │ ├────────────────────────────────────────────────────────┤ │ ASML (Netherlands) │ Sole EUV system integrator │ │ Zeiss (Germany) │ EUV optics (mirrors) │ │ Cymer/ASML (USA) │ Light source technology │ │ Hamamatsu (Japan) │ Sensors and detectors │ │ Applied Materials (USA)│ Mask inspection │ └────────────────────────────────────────────────────────┘ ``` ### 9.2 Export Control Impact **Countries with EUV access:** - United States ✓ - Taiwan ✓ - South Korea ✓ - Japan ✓ - European Union ✓ ## 10. Future Roadmap ### 10.1 Technology Evolution $$ \text{Resolution Roadmap: } R_n = R_0 \cdot (0.7)^n $$ | Year | Technology | Resolution Target | |------|------------|-------------------| | 2024 | High-NA EUV | $\sim 8 \text{ nm}$ | | 2027 | Hyper-NA EUV | $\sim 5 \text{ nm}$ | | 2030+ | Beyond EUV | $< 3 \text{ nm}$ | ### 10.2 Potential Successors - **Hyper-NA EUV:** $NA > 0.7$ - **Multi-beam e-beam:** For mask writing - **Directed self-assembly (DSA):** Complementary patterning - **Nanoimprint lithography (NIL):** Alternative approach

euv scatterometry, euv, metrology

Metrology at EUV wavelengths.

euv specific mathematics, euv mathematics, euv lithography mathematics, euv modeling, euv math

# EUV Lithography: Mathematical Modeling Framework **Extreme Ultraviolet (EUV) lithography** uses 13.5 nm wavelength light to pattern semiconductor features at 7nm, 5nm, 3nm nodes and beyond. This document provides a comprehensive mathematical modeling reference. ## 1. Optical Image Formation ### 1.1 Partially Coherent Imaging (Hopkins Formulation) The aerial image intensity at wafer level is governed by the **Hopkins imaging equation**: $$ I(x,y) = \iint TCC(f_1, f_2) \cdot \tilde{M}(f_1) \cdot \tilde{M}^*(f_2) \cdot e^{i2\pi(f_1-f_2)\cdot\mathbf{r}} \, df_1 \, df_2 $$ Where the **Transmission Cross-Coefficient (TCC)** captures the optical system behavior: $$ TCC(f_1, f_2) = \iint J(f) \cdot H(f + f_1) \cdot H^*(f + f_2) \, df $$ **Key parameters:** - $J(f)$ — Effective source (mutual intensity function) - $H(f)$ — Pupil function including aberrations - $\tilde{M}(f)$ — Fourier transform of mask reflectance - $f_1, f_2$ — Spatial frequency coordinates ### 1.2 Aberration Modeling with Zernike Polynomials Pupil aberrations are expanded in **Zernike polynomials**: $$ H(\rho, \theta) = P(\rho) \cdot \exp\left[i \sum_{n,m} Z_{nm} R_n^m(\rho) \cos(m\theta)\right] $$ **Zernike terms and their physical meaning:** - $Z_4$ — Defocus - $Z_5, Z_6$ — Astigmatism - $Z_7, Z_8$ — Coma - $Z_9$ — Spherical aberration - $Z_{nm}$ — Higher-order aberrations > **Note:** For EUV at $\lambda = 13.5$ nm, even sub-nanometer wavefront errors cause significant image degradation. ### 1.3 Key Optical Parameters | Parameter | Symbol | EUV Value | High-NA EUV | |-----------|--------|-----------|-------------| | Wavelength | $\lambda$ | 13.5 nm | 13.5 nm | | Numerical Aperture | $NA$ | 0.33 | 0.55 | | Partial Coherence | $\sigma$ | 0.2–0.9 | 0.2–0.9 | | k1 Factor | $k_1$ | 0.3–0.4 | 0.3–0.4 | **Resolution limit (Rayleigh criterion):** $$ R_{min} = k_1 \frac{\lambda}{NA} $$ ## 2. EUV Mask (Reticle) Modeling ### 2.1 Multilayer Reflectance — Transfer Matrix Method EUV masks use **Mo/Si multilayer mirrors** (40–50 bilayer pairs). Reflectance is computed via the **transfer matrix method**: $$ \begin{pmatrix} E_0^+ \\ E_0^- \end{pmatrix} = \prod_{j=1}^{N} M_j \begin{pmatrix} E_N^+ \\ 0 \end{pmatrix} $$ Each layer contributes a **characteristic matrix**: $$ M_j = \begin{pmatrix} \cos\beta_j & \frac{i\sin\beta_j}{\eta_j} \\ i\eta_j\sin\beta_j & \cos\beta_j \end{pmatrix} $$ **Where:** - $\beta_j = \frac{2\pi}{\lambda} n_j d_j \cos\theta_j$ — Phase thickness of layer $j$ - $\eta_j = n_j \cos\theta_j$ — Effective admittance (TE polarization) - $n_j$ — Complex refractive index of layer $j$ - $d_j$ — Physical thickness of layer $j$ ### 2.2 Complex Refractive Index at EUV At 13.5 nm wavelength, materials have refractive indices very close to vacuum: $$ \tilde{n} = 1 - \delta + i\beta $$ **Typical values:** | Material | $\delta$ | $\beta$ | |----------|----------|---------| | Si | 0.00183 | 0.00184 | | Mo | 0.0076 | 0.0064 | | Ru | 0.0104 | 0.0171 | | TaN (absorber) | 0.041 | 0.038 | ### 2.3 3D Mask Effects (M3D) — Rigorous EM Simulation Because feature sizes approach the wavelength, **rigorous electromagnetic simulation** is required. **Maxwell's Equations (Time-Harmonic Form):** $$ \nabla \times \mathbf{E} = -i\omega\mu_0 \mathbf{H} $$ $$ \nabla \times \mathbf{H} = i\omega\epsilon \mathbf{E} $$ **Numerical Methods:** - **RCWA** (Rigorous Coupled-Wave Analysis) - Expands fields in Fourier series - Efficient for periodic structures - Computational complexity: $O(N^3)$ where $N$ = number of harmonics - **FDTD** (Finite-Difference Time-Domain) - Direct time-stepping of Maxwell's equations - Yee grid discretization: $$ \frac{\partial E_x}{\partial t} = \frac{1}{\epsilon}\left(\frac{\partial H_z}{\partial y} - \frac{\partial H_y}{\partial z}\right) $$ - **FEM** (Finite Element Method) - Variational/weak formulation - Adaptive meshing for complex geometries **Shadowing Effect:** The 6° chief ray angle in EUV creates pattern-dependent asymmetries: $$ \Delta CD_{shadow} \approx 2h \cdot \tan(6°) \approx 0.21h $$ where $h$ is the absorber height. ## 3. Stochastic Effects ### 3.1 Photon Shot Noise — The Critical EUV Challenge At $\lambda = 13.5$ nm, photon energy is **~92 eV** (compared to 6.4 eV for ArF at 193 nm): $$ E_{photon} = \frac{hc}{\lambda} = \frac{1240 \text{ eV} \cdot \text{nm}}{13.5 \text{ nm}} \approx 92 \text{ eV} $$ **Number of photons per pixel:** $$ N_{photons} = \frac{E \cdot A_{pixel}}{h\nu} = \frac{E \cdot A_{pixel} \cdot \lambda}{hc} $$ **Example calculation:** - Dose: $E = 30$ mJ/cm² - Pixel area: $A = (10 \text{ nm})^2 = 10^{-12}$ cm² - Result: $N \approx 200$ photons **Relative fluctuation (Poisson statistics):** $$ \frac{\sigma_N}{N} = \frac{1}{\sqrt{N}} \approx 7\% $$ ### 3.2 Stochastic Line Edge Roughness (LER) Total LER combines multiple independent noise sources: $$ \sigma_{LER}^2 = \sigma_{photon}^2 + \sigma_{PAG}^2 + \sigma_{acid}^2 + \sigma_{develop}^2 $$ **Component models:** - **Photon shot noise:** $$\sigma_{photon} \propto \frac{1}{\sqrt{D}}$$ where $D$ is dose - **PAG (Photo-Acid Generator) distribution:** - Poisson statistics on molecular positions - Typical density: $\rho_{PAG} \sim 1\text{–}2 \text{ nm}^{-3}$ - Fluctuation: $\sigma_{PAG} \propto \frac{1}{\sqrt{\rho_{PAG} \cdot V}}$ - **Acid diffusion:** - Random walk during post-exposure bake - Diffusion length: $L_d = \sqrt{2D_a t_{PEB}}$ - **Development roughness:** - Percolation-type dissolution - Depends on polymer molecular weight distribution ### 3.3 Resolution-LER-Sensitivity (RLS) Trade-off The fundamental **RLS triangle** constrains lithographic performance: $$ Z = R^3 \cdot L^2 \cdot S^{-1} $$ **Where:** - $R$ — Resolution (half-pitch) - $L$ — Line edge roughness (3σ) - $S$ — Sensitivity (dose to clear) > **Physical limit:** This product has a quantum mechanical minimum that cannot be overcome without fundamental changes to imaging physics. **Practical targets for advanced nodes:** | Node | Resolution | LER (3σ) | Dose | |------|------------|----------|------| | 7 nm | 18 nm HP | < 3.6 nm | ~20 mJ/cm² | | 5 nm | 14 nm HP | < 2.8 nm | ~30 mJ/cm² | | 3 nm | 10 nm HP | < 2.0 nm | ~40 mJ/cm² | ## 4. Resist Chemistry Modeling ### 4.1 Chemically Amplified Resist (CAR) Kinetics **Step 1: Exposure — Acid Generation** Photo-acid generator (PAG) decomposition follows first-order kinetics: $$ [H^+] = [PAG]_0 \left(1 - e^{-\sigma \Phi}\right) $$ **Where:** - $[PAG]_0$ — Initial PAG concentration - $\sigma$ — Absorption cross-section (cm²) - $\Phi$ — Photon fluence (photons/cm²) **Quantum yield consideration:** $$ [H^+] = \phi_a \cdot [PAG]_0 \left(1 - e^{-\sigma \Phi}\right) $$ where $\phi_a$ is the acid generation quantum yield. ### 4.2 Post-Exposure Bake — Reaction-Diffusion System **Acid diffusion with quenching:** $$ \frac{\partial [H^+]}{\partial t} = D_a \nabla^2[H^+] - k_q[H^+][Q] $$ **Deprotection reaction (catalytic):** $$ \frac{\partial [P]}{\partial t} = -k_{amp}[H^+][P] $$ **Parameter definitions:** - $[P]$ — Protected polymer concentration - $[Q]$ — Quencher concentration - $D_a$ — Acid diffusion coefficient (~10–50 nm²/s) - $k_q$ — Quenching rate constant - $k_{amp}$ — Catalytic amplification rate **Acid blur (diffusion length):** $$ \sigma_{acid} = \sqrt{2 D_a t_{PEB}} $$ ### 4.3 Development Rate Models **Mack Model (Enhanced Notch Model):** $$ R = R_{max} \frac{(a+1)(1-m)^n}{a + (1-m)^n} + R_{min} $$ **Where:** - $R$ — Development rate - $m$ — Normalized inhibitor concentration (0 to 1) - $R_{max}$ — Maximum development rate (fully deprotected) - $R_{min}$ — Minimum development rate (unexposed) - $n$ — Dissolution selectivity parameter - $a$ — Notch parameter **Original Dill Parameters:** $$ \frac{dm}{dE} = -C \cdot I \cdot m $$ **Dissolution contrast:** $$ \gamma = \frac{d \ln R}{d \ln E}\bigg|_{E=E_{th}} $$ ## 5. Computational Lithography / OPC ### 5.1 Inverse Lithography Problem **Objective function:** $$ M_{opt} = \arg\min_M \mathcal{L}(M) $$ **Loss function with regularization:** $$ \mathcal{L}(M) = \underbrace{\left\| I_{target} - \mathcal{F}(M) \right\|^2}_{\text{Pattern fidelity}} + \underbrace{\lambda_1 R_{MRC}(M)}_{\text{Mask rules}} + \underbrace{\lambda_2 R_{MEEF}(M)}_{\text{Error sensitivity}} $$ **Where:** - $\mathcal{F}$ — Forward optical model (mask → aerial image) - $R_{MRC}$ — Mask rule check penalty (manufacturability) - $R_{MEEF}$ — Mask error enhancement factor penalty - $\lambda_1, \lambda_2$ — Regularization weights ### 5.2 Gradient Computation — Adjoint Method **Gradient of loss with respect to mask:** $$ \frac{\partial \mathcal{L}}{\partial M} = 2 \cdot \text{Re}\left[ TCC^{\dagger} \cdot (I_{simulated} - I_{target}) \right] $$ **Iterative update (gradient descent):** $$ M^{(k+1)} = M^{(k)} - \alpha \frac{\partial \mathcal{L}}{\partial M}\bigg|_{M^{(k)}} $$ ### 5.3 Source-Mask Optimization (SMO) **Joint optimization problem:** $$ \min_{S,M} \sum_{i=1}^{N_{patterns}} w_i \left\| I_i^{target} - I(S, M_i) \right\|^2 $$ **Subject to constraints:** - Source intensity: $\int\int S(x,y) \, dx \, dy = 1$ - Source non-negativity: $S(x,y) \geq 0$ - Mask manufacturability: $M \in \mathcal{M}_{feasible}$ **Source parameterization (multipole illumination):** $$ S(\sigma_x, \sigma_y) = \sum_{k=1}^{N_{poles}} A_k \cdot G(\sigma_x - \sigma_{x,k}, \sigma_y - \sigma_{y,k}; \sigma_0) $$ ### 5.4 Mask Error Enhancement Factor (MEEF) $$ MEEF = \frac{\partial CD_{wafer}}{\partial CD_{mask}} \cdot M $$ where $M$ is the reduction ratio (typically 4×). **For ideal imaging:** MEEF = 1 **For sub-resolution features:** MEEF can exceed 2–5 ## 6. Flare and Scattered Light ### 6.1 Mirror Roughness Contribution **Flare PSF from mid-spatial-frequency roughness:** $$ PSF_{flare}(r) = \frac{1}{(2\pi)^2} \int_0^\infty PSD(f) \cdot |H_{scatter}(f)|^2 \cdot J_0(2\pi f r) \cdot 2\pi f \, df $$ **Where:** - $PSD(f)$ — Power spectral density of surface roughness - $H_{scatter}(f)$ — Scattering transfer function - $J_0$ — Bessel function of the first kind ### 6.2 Total Flare Level **Definition:** $$ Flare = \frac{\int\int PSF_{flare}(r) \, dA}{\int\int PSF_{total}(r) \, dA} $$ **Typical values:** - DUV (193 nm): 1–2% - EUV (13.5 nm): 3–10% ### 6.3 Impact on Image Contrast **Modified aerial image:** $$ I_{with flare}(x,y) = (1 - F) \cdot I_{ideal}(x,y) + F \cdot \bar{I} $$ where $F$ is the flare fraction and $\bar{I}$ is the average intensity. **Contrast degradation:** $$ NILS_{with flare} = NILS_{ideal} \cdot (1 - F) $$ ## 7. Overlay and Distortion Modeling ### 7.1 Wafer Distortion — Polynomial Model **Displacement fields:** $$ \delta x(x,y) = \sum_{i+j \leq n} a_{ij} x^i y^j $$ $$ \delta y(x,y) = \sum_{i+j \leq n} b_{ij} x^i y^j $$ **Common terms and physical meaning:** | Coefficient | Physical Effect | |-------------|-----------------| | $a_{10}, b_{01}$ | Translation | | $a_{10}, b_{01}$ (scaled) | Magnification | | $a_{01}, b_{10}$ | Rotation | | $a_{20}, b_{02}$ | Asymmetric magnification | | Higher order | Field curvature, distortion | ### 7.2 Overlay Budget **Total overlay (RSS):** $$ OVL_{total} = \sqrt{OVL_{tool}^2 + OVL_{process}^2 + OVL_{wafer}^2 + OVL_{mask}^2} $$ **Typical requirements:** | Node | Overlay Spec | |------|-------------| | 7 nm | < 3.0 nm | | 5 nm | < 2.0 nm | | 3 nm | < 1.5 nm | ### 7.3 Machine Learning for Overlay Correction **Neural network predictor:** $$ (\delta x, \delta y)_{predicted} = f_{NN}(\mathbf{x}_{sensors}, \mathbf{x}_{context}, \mathbf{x}_{history}) $$ **Features include:** - Wafer stage sensor data - Temperature measurements - Previous layer metrology - Process history ## 8. Monte Carlo Stochastic Simulation ### 8.1 Full Stochastic Model Chain **Sequential simulation steps:** 1. **Photon absorption** - Poisson-distributed absorption events - Position: $(x_i, y_i, z_i)$ random in resist volume - Number: $N \sim \text{Poisson}(\bar{N})$ 2. **Secondary electron cascade** - Each 92 eV photon generates ~3–4 secondary electrons - Electron trajectory: Monte Carlo scattering simulation - Energy deposition: Bethe stopping power 3. **Acid generation** - Binomial sampling at PAG molecule locations - $P(\text{activation}) = 1 - e^{-\sigma E_{local}}$ 4. **Acid diffusion (PEB)** - Random walk simulation - Step size: $\Delta r = \sqrt{2D_a \Delta t}$ 5. **Deprotection** - Probabilistic reaction at each polymer site - $P(\text{deprotect}) = 1 - e^{-k_{amp}[H^+]\Delta t}$ 6. **Development** - Kinetic Monte Carlo (KMC) dissolution - Site-dependent removal rates ### 8.2 Secondary Electron Blur **Point spread function:** $$ PSF_{e}(r) = \frac{1}{2\pi\sigma_e^2} \exp\left(-\frac{r^2}{2\sigma_e^2}\right) $$ **Typical blur:** $\sigma_e \approx 2\text{–}5$ nm for EUV resists **Energy-dependent blur:** $$ \sigma_e(E) = a \cdot E^b $$ ### 8.3 Kinetic Monte Carlo (KMC) Development **Transition rate for site removal:** $$ k_i = k_0 \exp\left(-\frac{E_a - \gamma \cdot n_{neighbors}}{k_B T}\right) $$ **Where:** - $E_a$ — Base activation energy - $\gamma$ — Neighbor interaction energy - $n_{neighbors}$ — Number of connected neighbors - $k_B T$ — Thermal energy **Algorithm:** 1. Calculate all site rates $\{k_i\}$ 2. Total rate: $K = \sum_i k_i$ 3. Time step: $\Delta t = -\frac{\ln(u_1)}{K}$, $u_1 \sim U(0,1)$ 4. Select site with probability $P_i = k_i/K$ 5. Remove site, update neighbors 6. Repeat until target depth reached ## 9. Process Window Analysis ### 9.1 Bossung Curves **CD as a function of focus (parabolic approximation):** $$ CD(F) = CD_0 + \alpha(F - F_{best})^2 $$ **Where:** - $CD_0$ — Best focus CD - $F_{best}$ — Best focus position - $\alpha$ — Curvature coefficient (nm/nm²) ### 9.2 Depth of Focus (DOF) **Rayleigh DOF:** $$ DOF = k_2 \frac{\lambda}{NA^2} $$ **Typical values:** | System | NA | DOF | |--------|-----|-----| | EUV | 0.33 | ~80–100 nm | | High-NA EUV | 0.55 | ~35–45 nm | ### 9.3 Exposure Latitude **Definition:** $$ EL = \frac{E_{max} - E_{min}}{E_{nominal}} \times 100\% $$ **Normalized Image Log-Slope (NILS):** $$ NILS = w \cdot \frac{1}{I} \frac{dI}{dx}\bigg|_{edge} $$ where $w$ is the feature width. **Correlation:** Higher NILS → larger exposure latitude ### 9.4 Process Variability Band (PVB) **Total CD variation from all sources:** $$ PVB = \sqrt{\sum_i \left(\frac{\partial CD}{\partial p_i}\right)^2 \sigma_{p_i}^2} $$ **Contributing parameters $(p_i)$:** - Dose variation - Focus variation - Mask CD variation - Aberrations - Resist thickness - PEB temperature ## 10. Machine Learning Integration ### 10.1 Applications in EUV Modeling | Application | ML Method | Input | Output | |-------------|-----------|-------|--------| | Aerial image prediction | CNN | Mask layout | Image intensity | | Hotspot detection | Deep learning | Pattern clips | Pass/fail | | Resist model calibration | Gaussian process | Process params | CD | | Virtual metrology | Random forest | Sensor data | Wafer quality | | OPC acceleration | GNN | Layout graph | OPC corrections | ### 10.2 Convolutional Neural Networks for Imaging **Architecture for mask → aerial image:** $$ I_{predicted} = CNN_\theta(M_{input}) $$ **Loss function:** $$ \mathcal{L}(\theta) = \frac{1}{N}\sum_{i=1}^{N} \|I_i^{rigorous} - CNN_\theta(M_i)\|^2 $$ ### 10.3 Physics-Informed Neural Networks (PINNs) **Combined loss function:** $$ \mathcal{L} = \underbrace{\mathcal{L}_{data}}_{\text{Measurement fit}} + \lambda \cdot \underbrace{\mathcal{L}_{physics}}_{\text{Maxwell residual}} $$ **Physics loss (Maxwell's equations):** $$ \mathcal{L}_{physics} = \|\nabla \times \mathbf{E} + i\omega\mu_0\mathbf{H}\|^2 + \|\nabla \times \mathbf{H} - i\omega\epsilon\mathbf{E}\|^2 $$ ### 10.4 Transfer Learning for New Processes **Pre-train on simulation data:** $$ \theta^{(0)} = \arg\min_\theta \mathcal{L}_{simulation}(\theta) $$ **Fine-tune on experimental data:** $$ \theta^{*} = \arg\min_\theta \mathcal{L}_{experimental}(\theta; \theta^{(0)}) $$ ## 11. Summary ### 11.1 Mathematical Tools by Domain | Domain | Mathematical Framework | |--------|----------------------| | Source modeling | Plasma physics, MHD, radiation transport | | Optical imaging | Fourier optics, Hopkins TCC, Zernike polynomials | | Mask modeling | Transfer matrices, RCWA/FDTD, Maxwell solvers | | Aerial image | Convolution, partially coherent imaging | | Stochastics | Poisson statistics, random walks, percolation | | Resist chemistry | Reaction-diffusion PDEs, Arrhenius kinetics | | OPC/ILT | Inverse problems, gradient optimization, regularization | | Process control | Statistical process control, Kalman filtering | | ML augmentation | CNNs, PINNs, surrogate models, transfer learning | ### 11.2 Key Equations Summary **Resolution:** $$R = k_1 \frac{\lambda}{NA}$$ **Depth of Focus:** $$DOF = k_2 \frac{\lambda}{NA^2}$$ **Photon count:** $$N = \frac{E \cdot A \cdot \lambda}{hc}$$ **Shot noise:** $$\sigma_N / N = 1/\sqrt{N}$$ **RLS Trade-off:** $$Z = R^3 \cdot L^2 \cdot S^{-1}$$ **Hopkins Imaging:** $$I = \iint TCC \cdot \tilde{M}(f_1) \cdot \tilde{M}^*(f_2) \cdot e^{i2\pi(f_1-f_2)\cdot\mathbf{r}} df_1 df_2$$ ### 11.3 Emerging Challenges - **High-NA EUV (0.55 NA)** - Anamorphic optics (4× in x, 8× in y) - Polarization effects become critical - DOF reduced to ~35 nm - **Stochastic limits** - Approaching quantum limits of imaging - New resist chemistries needed - Multi-trigger and dry resist concepts - **Computational complexity** - Full chip rigorous simulation infeasible - ML surrogate models essential - Real-time OPC requirements

eval,benchmark,metrics,tests

Evaluation = testing models on benchmarks or custom tasks. Use automatic scores + human review to measure quality, robustness, and regressions.

evaluate,metrics,huggingface

Evaluate library provides ML metrics. Standardized evaluation. Hugging Face.

evaporation,pvd

Heat material until it evaporates and condenses on wafer.

event camera processing,computer vision

Process data from neuromorphic cameras.

event coreference,nlp

Identify when mentions refer to same event.

event extraction,nlp

Identify events and their participants.

event logging,automation

Record all tool events for troubleshooting and analysis.

event tree analysis, eta, reliability

Bottom-up consequence analysis.

event-based graphs, graph neural networks

Event-based temporal graphs represent edge additions and deletions as continuous-time events.

evidence inference, evaluation

Clinical trial inference.

evidence retrieval,nlp

Find supporting or contradicting evidence.

evol-instruct, data generation

Evolve instructions to increase difficulty.

evol-instruct, training techniques

Evol-Instruct iteratively evolves instructions making them more complex and diverse.

evolutionary architecture search, neural architecture

Use evolutionary algorithms for NAS.

evolutionary nas, neural architecture search

Evolutionary neural architecture search uses genetic algorithms with mutation and crossover operations to explore discrete architecture spaces.

evolvegcn, graph neural networks

EvolveGCN learns temporal graph representations by evolving GCN parameters over time using RNNs rather than maintaining separate models per snapshot.

evonorm, neural architecture

Evolved normalization and activation.

ewma chart, ewma, spc

Sensitive to small shifts.

exact deduplication, data quality

Remove identical examples.

exact match, evaluation

Exact match requires predictions to perfectly match references.

exafs, exafs, metrology

Local atomic structure beyond first neighbors.

example ordering, prompting techniques

Example ordering arranges few-shot demonstrations to optimize learning effectiveness.

example ordering, training

Order within batches.

example ordering,prompt engineering

Arrange demonstrations to maximize performance.

example-based explanation, interpretability

Example-based explanations use similar training examples to explain predictions.

examples,sample code,template,boilerplate

Ask for templates and I can provide minimal working examples you can copy, run, and adapt.

excess solder, quality

Too much solder.

excursion detection, production

Identify when process goes out of control.

excursion detection, yield enhancement

Excursion detection identifies abnormal process conditions or measurements indicating yield risks.

excursion management, production

Handle process deviations.