aberration-corrected tem, metrology
Ultra-high resolution TEM with correctors.
34 technical terms and definitions
Ultra-high resolution TEM with correctors.
How close measurement is to true value.
Bond using polymer adhesive.
Intel's chiplet interconnect.
# Advanced Mathematics in Semiconductor Manufacturing
## 1. Lithography & Optical Physics
This is arguably the most mathematically demanding area of semiconductor manufacturing.
### 1.1 Fourier Optics & Partial Coherence Theory
The foundation of photolithography treats optical imaging as a spatial frequency filtering problem.
- **Key Concept**: The mask pattern is decomposed into spatial frequency components
- **Optical System**: Acts as a low-pass filter on spatial frequencies
- **Hopkins Formulation**: Describes partially coherent imaging
The aerial image intensity $I(x,y)$ is given by:
$$
I(x,y) = \iint\iint TCC(f_1, g_1, f_2, g_2) \cdot M(f_1, g_1) \cdot M^*(f_2, g_2) \cdot e^{2\pi i[(f_1-f_2)x + (g_1-g_2)y]} \, df_1 \, dg_1 \, df_2 \, dg_2
$$
Where:
- $TCC$ = Transmission Cross-Coefficient
- $M(f,g)$ = Mask spectrum (Fourier transform of mask pattern)
- $M^*$ = Complex conjugate of mask spectrum
**SOCS Decomposition** (Sum of Coherent Systems):
$$
TCC(f_1, g_1, f_2, g_2) = \sum_{k=1}^{N} \lambda_k \phi_k(f_1, g_1) \phi_k^*(f_2, g_2)
$$
- Eigenvalue decomposition makes computation tractable
- $\lambda_k$ are eigenvalues (typically only 10-20 terms needed)
- $\phi_k$ are eigenfunctions
### 1.2 Inverse Lithography Technology (ILT)
Given a desired wafer pattern $T(x,y)$, find the optimal mask $M(x,y)$.
**Mathematical Framework**:
- **Objective Function**:
$$
\min_{M} \left\| I[M](x,y) - T(x,y) \right\|^2 + \alpha R[M]
$$
- **Key Methods**:
- Variational calculus and gradient descent in function spaces
- Level-set methods for topology optimization:
$$
\frac{\partial \phi}{\partial t} + v|\nabla\phi| = 0
$$
- Tikhonov regularization: $R[M] = \|\nabla M\|^2$
- Total-variation regularization: $R[M] = \int |\nabla M| \, dx \, dy$
- Adjoint methods for efficient gradient computation
### 1.3 EUV & Rigorous Electromagnetics
At $\lambda = 13.5$ nm, scalar diffraction theory fails. Full vector Maxwell's equations are required.
**Maxwell's Equations** (time-harmonic form):
$$
\nabla \times \mathbf{E} = -i\omega\mu\mathbf{H}
$$
$$
\nabla \times \mathbf{H} = i\omega\varepsilon\mathbf{E}
$$
**Numerical Methods**:
- **RCWA** (Rigorous Coupled-Wave Analysis):
- Eigenvalue problem for each diffraction order
- Transfer matrix for multilayer stacks:
$$
\begin{pmatrix} E^+ \\ E^- \end{pmatrix}_{out} = \mathbf{T} \begin{pmatrix} E^+ \\ E^- \end{pmatrix}_{in}
$$
- **FDTD** (Finite-Difference Time-Domain):
- Yee grid discretization
- Leapfrog time integration:
$$
E^{n+1} = E^n + \frac{\Delta t}{\varepsilon} \nabla \times H^{n+1/2}
$$
- **Multilayer Thin-Film Optics**:
- Fresnel coefficients at each interface
- Transfer matrix method for $N$ layers
### 1.4 Aberration Theory
Optical aberrations characterized using **Zernike Polynomials**:
$$
W(\rho, \theta) = \sum_{n,m} Z_n^m R_n^m(\rho) \cdot
\begin{cases}
\cos(m\theta) & \text{(even)} \\
\sin(m\theta) & \text{(odd)}
\end{cases}
$$
Where $R_n^m(\rho)$ are radial polynomials:
$$
R_n^m(\rho) = \sum_{k=0}^{(n-m)/2} \frac{(-1)^k (n-k)!}{k! \left(\frac{n+m}{2}-k\right)! \left(\frac{n-m}{2}-k\right)!} \rho^{n-2k}
$$
**Common Aberrations**:
| Zernike Term | Name | Effect |
|--------------|------|--------|
| $Z_4^0$ | Defocus | Uniform blur |
| $Z_3^1$ | Coma | Asymmetric distortion |
| $Z_4^0$ | Spherical | Halo effect |
| $Z_2^2$ | Astigmatism | Directional blur |
## 2. Quantum Mechanics & Device Physics
As transistors reach sub-5nm dimensions, classical models break down.
### 2.1 Schrödinger Equation & Quantum Transport
**Time-Independent Schrödinger Equation**:
$$
\hat{H}\psi = E\psi
$$
$$
\left[-\frac{\hbar^2}{2m}\nabla^2 + V(\mathbf{r})\right]\psi(\mathbf{r}) = E\psi(\mathbf{r})
$$
**Non-Equilibrium Green's Function (NEGF) Formalism**:
- Retarded Green's function:
$$
G^R(E) = \left[(E + i\eta)I - H - \Sigma_L - \Sigma_R\right]^{-1}
$$
- Self-energy $\Sigma$ incorporates:
- Contact coupling
- Scattering mechanisms
- Electron-phonon interaction
- Current calculation:
$$
I = \frac{2e}{h} \int T(E) [f_L(E) - f_R(E)] \, dE
$$
- Transmission function:
$$
T(E) = \text{Tr}\left[\Gamma_L G^R \Gamma_R G^A\right]
$$
**Wigner Function** (bridging quantum and semiclassical):
$$
W(x,p) = \frac{1}{2\pi\hbar} \int \psi^*\left(x + \frac{y}{2}\right) \psi\left(x - \frac{y}{2}\right) e^{ipy/\hbar} \, dy
$$
### 2.2 Band Structure Theory
**$k \cdot p$ Perturbation Theory**:
$$
H_{k \cdot p} = \frac{p^2}{2m_0} + V(\mathbf{r}) + \frac{\hbar}{m_0}\mathbf{k} \cdot \mathbf{p} + \frac{\hbar^2 k^2}{2m_0}
$$
**Effective Mass Tensor**:
$$
\frac{1}{m^*_{ij}} = \frac{1}{\hbar^2} \frac{\partial^2 E}{\partial k_i \partial k_j}
$$
**Tight-Binding Hamiltonian**:
$$
H = \sum_i \varepsilon_i |i\rangle\langle i| + \sum_{\langle i,j \rangle} t_{ij} |i\rangle\langle j|
$$
- $\varepsilon_i$ = on-site energy
- $t_{ij}$ = hopping integral (Slater-Koster parameters)
### 2.3 Semiclassical Transport
**Boltzmann Transport Equation**:
$$
\frac{\partial f}{\partial t} + \mathbf{v} \cdot \nabla_r f + \frac{\mathbf{F}}{\hbar} \cdot \nabla_k f = \left(\frac{\partial f}{\partial t}\right)_{coll}
$$
- 6D phase space $(x, y, z, k_x, k_y, k_z)$
- Collision integral (scattering):
$$
\left(\frac{\partial f}{\partial t}\right)_{coll} = \sum_{k'} [S(k',k)f(k')(1-f(k)) - S(k,k')f(k)(1-f(k'))]
$$
**Drift-Diffusion Equations** (moment expansion):
$$
\mathbf{J}_n = q\mu_n n\mathbf{E} + qD_n\nabla n
$$
$$
\mathbf{J}_p = q\mu_p p\mathbf{E} - qD_p\nabla p
$$
## 3. Process Simulation PDEs
### 3.1 Dopant Diffusion
**Fick's Second Law** (concentration-dependent):
$$
\frac{\partial C}{\partial t} = \nabla \cdot (D(C,T) \nabla C) + G - R
$$
**Coupled Point-Defect System**:
$$
\begin{aligned}
\frac{\partial C_A}{\partial t} &= \nabla \cdot (D_A \nabla C_A) + k_{AI}C_AC_I - k_{AV}C_AC_V \\
\frac{\partial C_I}{\partial t} &= \nabla \cdot (D_I \nabla C_I) + G_I - k_{IV}C_IC_V \\
\frac{\partial C_V}{\partial t} &= \nabla \cdot (D_V \nabla C_V) + G_V - k_{IV}C_IC_V
\end{aligned}
$$
Where:
- $C_A$ = dopant concentration
- $C_I$ = interstitial concentration
- $C_V$ = vacancy concentration
- $k_{ij}$ = reaction rate constants
### 3.2 Oxidation & Film Growth
**Deal-Grove Model**:
$$
x_{ox}^2 + Ax_{ox} = B(t + \tau)
$$
- $A$ = linear rate constant (surface reaction limited)
- $B$ = parabolic rate constant (diffusion limited)
- $\tau$ = time offset for initial oxide
**Moving Boundary (Stefan) Problem**:
$$
D\frac{\partial C}{\partial x}\bigg|_{x=s(t)} = C^* \frac{ds}{dt}
$$
### 3.3 Ion Implantation
**Binary Collision Approximation** (Monte Carlo):
- Screened Coulomb potential:
$$
V(r) = \frac{Z_1 Z_2 e^2}{r} \phi\left(\frac{r}{a}\right)
$$
- Scattering angle from two-body collision integral
**As-Implanted Profile** (Pearson IV distribution):
$$
f(x) = f_0 \left[1 + \left(\frac{x-R_p}{b}\right)^2\right]^{-m} \exp\left[-r \tan^{-1}\left(\frac{x-R_p}{b}\right)\right]
$$
Parameters: $R_p$ (projected range), $\Delta R_p$ (straggle), skewness, kurtosis
### 3.4 Plasma Etching
**Electron Energy Distribution** (Boltzmann equation):
$$
\frac{\partial f}{\partial t} + \mathbf{v} \cdot \nabla f - \frac{e\mathbf{E}}{m} \cdot \nabla_v f = C[f]
$$
**Child-Langmuir Law** (sheath ion flux):
$$
J = \frac{4\varepsilon_0}{9} \sqrt{\frac{2e}{M}} \frac{V^{3/2}}{d^2}
$$
### 3.5 Chemical-Mechanical Polishing (CMP)
**Preston Equation**:
$$
\frac{dh}{dt} = K_p \cdot P \cdot V
$$
- $K_p$ = Preston coefficient
- $P$ = local pressure
- $V$ = relative velocity
**Pattern-Density Dependent Model**:
$$
P_{local} = P_{avg} \cdot \frac{A_{total}}{A_{contact}(\rho)}
$$
## 4. Electromagnetic Simulation
### 4.1 Interconnect Modeling
**Capacitance Extraction** (Laplace equation):
$$
\nabla^2 \phi = 0 \quad \text{(dielectric regions)}
$$
$$
\nabla \cdot (\varepsilon \nabla \phi) = -\rho \quad \text{(with charges)}
$$
**Boundary Element Method**:
$$
c(\mathbf{r})\phi(\mathbf{r}) = \int_S \left[\phi(\mathbf{r}') \frac{\partial G}{\partial n'} - G(\mathbf{r}, \mathbf{r}') \frac{\partial \phi}{\partial n'}\right] dS'
$$
Where $G(\mathbf{r}, \mathbf{r}') = \frac{1}{4\pi|\mathbf{r} - \mathbf{r}'|}$ (free-space Green's function)
### 4.2 Partial Inductance
**PEEC Method** (Partial Element Equivalent Circuit):
$$
L_{p,ij} = \frac{\mu_0}{4\pi} \frac{1}{a_i a_j} \int_{V_i} \int_{V_j} \frac{d\mathbf{l}_i \cdot d\mathbf{l}_j}{|\mathbf{r}_i - \mathbf{r}_j|}
$$
## 5. Statistical & Stochastic Methods
### 5.1 Process Variability
**Multivariate Gaussian Model**:
$$
p(\mathbf{x}) = \frac{1}{(2\pi)^{n/2}|\Sigma|^{1/2}} \exp\left(-\frac{1}{2}(\mathbf{x}-\boldsymbol{\mu})^T \Sigma^{-1} (\mathbf{x}-\boldsymbol{\mu})\right)
$$
**Principal Component Analysis**:
$$
\mathbf{X} = \mathbf{U}\mathbf{S}\mathbf{V}^T
$$
- Transform to uncorrelated variables
- Dimensionality reduction: retain components with largest singular values
**Polynomial Chaos Expansion**:
$$
Y(\boldsymbol{\xi}) = \sum_{k=0}^{P} y_k \Psi_k(\boldsymbol{\xi})
$$
- $\Psi_k$ = orthogonal polynomial basis (Hermite for Gaussian inputs)
- Enables uncertainty quantification without Monte Carlo
### 5.2 Yield Modeling
**Poisson Defect Model**:
$$
Y = e^{-D \cdot A}
$$
- $D$ = defect density (defects/cm²)
- $A$ = critical area
**Negative Binomial** (clustered defects):
$$
Y = \left(1 + \frac{DA}{\alpha}\right)^{-\alpha}
$$
### 5.3 Reliability Physics
**Weibull Distribution** (lifetime):
$$
F(t) = 1 - \exp\left[-\left(\frac{t}{\eta}\right)^\beta\right]
$$
- $\eta$ = scale parameter (characteristic life)
- $\beta$ = shape parameter (failure mode indicator)
**Black's Equation** (electromigration):
$$
MTTF = A \cdot J^{-n} \cdot \exp\left(\frac{E_a}{k_B T}\right)
$$
## 6. Optimization & Inverse Problems
### 6.1 Design of Experiments
**Response Surface Methodology**:
$$
y = \beta_0 + \sum_i \beta_i x_i + \sum_i \beta_{ii} x_i^2 + \sum_{i
# Semiconductor Manufacturing: Advanced Mathematics
## 1. Lithography & Optical Physics
This is arguably the most mathematically demanding area of semiconductor manufacturing.
### 1.1 Fourier Optics & Partial Coherence Theory
The foundation of photolithography treats optical imaging as a spatial frequency filtering problem.
- **Key Concept**: The mask pattern is decomposed into spatial frequency components
- **Optical System**: Acts as a low-pass filter on spatial frequencies
- **Hopkins Formulation**: Describes partially coherent imaging
The aerial image intensity $I(x,y)$ is given by:
$$
I(x,y) = \iint\iint TCC(f_1, g_1, f_2, g_2) \cdot M(f_1, g_1) \cdot M^*(f_2, g_2) \cdot e^{2\pi i[(f_1-f_2)x + (g_1-g_2)y]} \, df_1 \, dg_1 \, df_2 \, dg_2
$$
Where:
- $TCC$ = Transmission Cross-Coefficient
- $M(f,g)$ = Mask spectrum (Fourier transform of mask pattern)
- $M^*$ = Complex conjugate of mask spectrum
**SOCS Decomposition** (Sum of Coherent Systems):
$$
TCC(f_1, g_1, f_2, g_2) = \sum_{k=1}^{N} \lambda_k \phi_k(f_1, g_1) \phi_k^*(f_2, g_2)
$$
- Eigenvalue decomposition makes computation tractable
- $\lambda_k$ are eigenvalues (typically only 10-20 terms needed)
- $\phi_k$ are eigenfunctions
### 1.2 Inverse Lithography Technology (ILT)
Given a desired wafer pattern $T(x,y)$, find the optimal mask $M(x,y)$.
**Mathematical Framework**:
- **Objective Function**:
$$
\min_{M} \left\| I[M](x,y) - T(x,y) \right\|^2 + \alpha R[M]
$$
- **Key Methods**:
- Variational calculus and gradient descent in function spaces
- Level-set methods for topology optimization:
$$
\frac{\partial \phi}{\partial t} + v|\nabla\phi| = 0
$$
- Tikhonov regularization: $R[M] = \|\nabla M\|^2$
- Total-variation regularization: $R[M] = \int |\nabla M| \, dx \, dy$
- Adjoint methods for efficient gradient computation
### 1.3 EUV & Rigorous Electromagnetics
At $\lambda = 13.5$ nm, scalar diffraction theory fails. Full vector Maxwell's equations are required.
**Maxwell's Equations** (time-harmonic form):
$$
\nabla \times \mathbf{E} = -i\omega\mu\mathbf{H}
$$
$$
\nabla \times \mathbf{H} = i\omega\varepsilon\mathbf{E}
$$
**Numerical Methods**:
- **RCWA** (Rigorous Coupled-Wave Analysis):
- Eigenvalue problem for each diffraction order
- Transfer matrix for multilayer stacks:
$$
\begin{pmatrix} E^+ \\ E^- \end{pmatrix}_{out} = \mathbf{T} \begin{pmatrix} E^+ \\ E^- \end{pmatrix}_{in}
$$
- **FDTD** (Finite-Difference Time-Domain):
- Yee grid discretization
- Leapfrog time integration:
$$
E^{n+1} = E^n + \frac{\Delta t}{\varepsilon} \nabla \times H^{n+1/2}
$$
- **Multilayer Thin-Film Optics**:
- Fresnel coefficients at each interface
- Transfer matrix method for $N$ layers
### 1.4 Aberration Theory
Optical aberrations characterized using **Zernike Polynomials**:
$$
W(\rho, \theta) = \sum_{n,m} Z_n^m R_n^m(\rho) \cdot
\begin{cases}
\cos(m\theta) & \text{(even)} \\
\sin(m\theta) & \text{(odd)}
\end{cases}
$$
Where $R_n^m(\rho)$ are radial polynomials:
$$
R_n^m(\rho) = \sum_{k=0}^{(n-m)/2} \frac{(-1)^k (n-k)!}{k! \left(\frac{n+m}{2}-k\right)! \left(\frac{n-m}{2}-k\right)!} \rho^{n-2k}
$$
**Common Aberrations**:
| Zernike Term | Name | Effect |
|--------------|------|--------|
| $Z_4^0$ | Defocus | Uniform blur |
| $Z_3^1$ | Coma | Asymmetric distortion |
| $Z_4^0$ | Spherical | Halo effect |
| $Z_2^2$ | Astigmatism | Directional blur |
## 2. Quantum Mechanics & Device Physics
As transistors reach sub-5nm dimensions, classical models break down.
### 2.1 Schrödinger Equation & Quantum Transport
**Time-Independent Schrödinger Equation**:
$$
\hat{H}\psi = E\psi
$$
$$
\left[-\frac{\hbar^2}{2m}\nabla^2 + V(\mathbf{r})\right]\psi(\mathbf{r}) = E\psi(\mathbf{r})
$$
**Non-Equilibrium Green's Function (NEGF) Formalism**:
- Retarded Green's function:
$$
G^R(E) = \left[(E + i\eta)I - H - \Sigma_L - \Sigma_R\right]^{-1}
$$
- Self-energy $\Sigma$ incorporates:
- Contact coupling
- Scattering mechanisms
- Electron-phonon interaction
- Current calculation:
$$
I = \frac{2e}{h} \int T(E) [f_L(E) - f_R(E)] \, dE
$$
- Transmission function:
$$
T(E) = \text{Tr}\left[\Gamma_L G^R \Gamma_R G^A\right]
$$
**Wigner Function** (bridging quantum and semiclassical):
$$
W(x,p) = \frac{1}{2\pi\hbar} \int \psi^*\left(x + \frac{y}{2}\right) \psi\left(x - \frac{y}{2}\right) e^{ipy/\hbar} \, dy
$$
### 2.2 Band Structure Theory
**k·p Perturbation Theory**:
$$
H_{k \cdot p} = \frac{p^2}{2m_0} + V(\mathbf{r}) + \frac{\hbar}{m_0}\mathbf{k} \cdot \mathbf{p} + \frac{\hbar^2 k^2}{2m_0}
$$
**Effective Mass Tensor**:
$$
\frac{1}{m^*_{ij}} = \frac{1}{\hbar^2} \frac{\partial^2 E}{\partial k_i \partial k_j}
$$
**Tight-Binding Hamiltonian**:
$$
H = \sum_i \varepsilon_i |i\rangle\langle i| + \sum_{\langle i,j \rangle} t_{ij} |i\rangle\langle j|
$$
- $\varepsilon_i$ = on-site energy
- $t_{ij}$ = hopping integral (Slater-Koster parameters)
### 2.3 Semiclassical Transport
**Boltzmann Transport Equation**:
$$
\frac{\partial f}{\partial t} + \mathbf{v} \cdot \nabla_r f + \frac{\mathbf{F}}{\hbar} \cdot \nabla_k f = \left(\frac{\partial f}{\partial t}\right)_{coll}
$$
- 6D phase space $(x, y, z, k_x, k_y, k_z)$
- Collision integral (scattering):
$$
\left(\frac{\partial f}{\partial t}\right)_{coll} = \sum_{k'} [S(k',k)f(k')(1-f(k)) - S(k,k')f(k)(1-f(k'))]
$$
**Drift-Diffusion Equations** (moment expansion):
$$
\mathbf{J}_n = q\mu_n n\mathbf{E} + qD_n\nabla n
$$
$$
\mathbf{J}_p = q\mu_p p\mathbf{E} - qD_p\nabla p
$$
## 3. Process Simulation PDEs
### 3.1 Dopant Diffusion
**Fick's Second Law** (concentration-dependent):
$$
\frac{\partial C}{\partial t} = \nabla \cdot (D(C,T) \nabla C) + G - R
$$
**Coupled Point-Defect System**:
$$
\begin{aligned}
\frac{\partial C_A}{\partial t} &= \nabla \cdot (D_A \nabla C_A) + k_{AI}C_AC_I - k_{AV}C_AC_V \\
\frac{\partial C_I}{\partial t} &= \nabla \cdot (D_I \nabla C_I) + G_I - k_{IV}C_IC_V \\
\frac{\partial C_V}{\partial t} &= \nabla \cdot (D_V \nabla C_V) + G_V - k_{IV}C_IC_V
\end{aligned}
$$
Where:
- $C_A$ = dopant concentration
- $C_I$ = interstitial concentration
- $C_V$ = vacancy concentration
- $k_{ij}$ = reaction rate constants
### 3.2 Oxidation & Film Growth
**Deal-Grove Model**:
$$
x_{ox}^2 + Ax_{ox} = B(t + \tau)
$$
- $A$ = linear rate constant (surface reaction limited)
- $B$ = parabolic rate constant (diffusion limited)
- $\tau$ = time offset for initial oxide
**Moving Boundary (Stefan) Problem**:
$$
D\frac{\partial C}{\partial x}\bigg|_{x=s(t)} = C^* \frac{ds}{dt}
$$
### 3.3 Ion Implantation
**Binary Collision Approximation** (Monte Carlo):
- Screened Coulomb potential:
$$
V(r) = \frac{Z_1 Z_2 e^2}{r} \phi\left(\frac{r}{a}\right)
$$
- Scattering angle from two-body collision integral
**As-Implanted Profile** (Pearson IV distribution):
$$
f(x) = f_0 \left[1 + \left(\frac{x-R_p}{b}\right)^2\right]^{-m} \exp\left[-r \tan^{-1}\left(\frac{x-R_p}{b}\right)\right]
$$
Parameters: $R_p$ (projected range), $\Delta R_p$ (straggle), skewness, kurtosis
### 3.4 Plasma Etching
**Electron Energy Distribution** (Boltzmann equation):
$$
\frac{\partial f}{\partial t} + \mathbf{v} \cdot \nabla f - \frac{e\mathbf{E}}{m} \cdot \nabla_v f = C[f]
$$
**Child-Langmuir Law** (sheath ion flux):
$$
J = \frac{4\varepsilon_0}{9} \sqrt{\frac{2e}{M}} \frac{V^{3/2}}{d^2}
$$
### 3.5 Chemical-Mechanical Polishing (CMP)
**Preston Equation**:
$$
\frac{dh}{dt} = K_p \cdot P \cdot V
$$
- $K_p$ = Preston coefficient
- $P$ = local pressure
- $V$ = relative velocity
**Pattern-Density Dependent Model**:
$$
P_{local} = P_{avg} \cdot \frac{A_{total}}{A_{contact}(\rho)}
$$
## 4. Electromagnetic Simulation
### 4.1 Interconnect Modeling
**Capacitance Extraction** (Laplace equation):
$$
\nabla^2 \phi = 0 \quad \text{(dielectric regions)}
$$
$$
\nabla \cdot (\varepsilon \nabla \phi) = -\rho \quad \text{(with charges)}
$$
**Boundary Element Method**:
$$
c(\mathbf{r})\phi(\mathbf{r}) = \int_S \left[\phi(\mathbf{r}') \frac{\partial G}{\partial n'} - G(\mathbf{r}, \mathbf{r}') \frac{\partial \phi}{\partial n'}\right] dS'
$$
Where $G(\mathbf{r}, \mathbf{r}') = \frac{1}{4\pi|\mathbf{r} - \mathbf{r}'|}$ (free-space Green's function)
### 4.2 Partial Inductance
**PEEC Method** (Partial Element Equivalent Circuit):
$$
L_{p,ij} = \frac{\mu_0}{4\pi} \frac{1}{a_i a_j} \int_{V_i} \int_{V_j} \frac{d\mathbf{l}_i \cdot d\mathbf{l}_j}{|\mathbf{r}_i - \mathbf{r}_j|}
$$
## 5. Statistical & Stochastic Methods
### 5.1 Process Variability
**Multivariate Gaussian Model**:
$$
p(\mathbf{x}) = \frac{1}{(2\pi)^{n/2}|\Sigma|^{1/2}} \exp\left(-\frac{1}{2}(\mathbf{x}-\boldsymbol{\mu})^T \Sigma^{-1} (\mathbf{x}-\boldsymbol{\mu})\right)
$$
**Principal Component Analysis**:
$$
\mathbf{X} = \mathbf{U}\mathbf{S}\mathbf{V}^T
$$
- Transform to uncorrelated variables
- Dimensionality reduction: retain components with largest singular values
**Polynomial Chaos Expansion**:
$$
Y(\boldsymbol{\xi}) = \sum_{k=0}^{P} y_k \Psi_k(\boldsymbol{\xi})
$$
- $\Psi_k$ = orthogonal polynomial basis (Hermite for Gaussian inputs)
- Enables uncertainty quantification without Monte Carlo
### 5.2 Yield Modeling
**Poisson Defect Model**:
$$
Y = e^{-D \cdot A}
$$
- $D$ = defect density (defects/cm²)
- $A$ = critical area
**Negative Binomial** (clustered defects):
$$
Y = \left(1 + \frac{DA}{\alpha}\right)^{-\alpha}
$$
### 5.3 Reliability Physics
**Weibull Distribution** (lifetime):
$$
F(t) = 1 - \exp\left[-\left(\frac{t}{\eta}\right)^\beta\right]
$$
- $\eta$ = scale parameter (characteristic life)
- $\beta$ = shape parameter (failure mode indicator)
**Black's Equation** (electromigration):
$$
MTTF = A \cdot J^{-n} \cdot \exp\left(\frac{E_a}{k_B T}\right)
$$
## 6. Optimization & Inverse Problems
### 6.1 Design of Experiments
**Response Surface Methodology**:
$$
y = \beta_0 + \sum_i \beta_i x_i + \sum_i \beta_{ii} x_i^2 + \sum_{i
Inspect simulated image from mask.
Scan surface with sharp tip to measure topography at nanometer scale.
Tool for aerial image inspection.
Ultra-stable surface for metrology.
Sequential self-limiting surface reactions for atomic-level thickness control.
Reference patterns on wafer used to align each layer.
Adjacent features have opposite phase.
Use aluminum wire.
Different magnifications in X and Y directions.
Vary angle of incidence for CD measurement.
Depth profiling with XPS.
Conducts in Z-direction only.
Orientation-dependent etch.
Light element imaging in STEM.
Bond using electric field at high temperature.
Layer below resist to reduce reflections and improve CD control.
Prevent ESD damage.
193nm DUV light source.
# EUV Lithography ## EUV ## 1. Introduction to EUV ### Market - 100% market share in EUV lithography by top EUV vendor - ~90% market share in advanced DUV lithography - Critical supplier to all leading-edge semiconductor fabs ## 2. Lithography Fundamentals ### The Rayleigh Criterion The fundamental resolution limit in optical lithography is governed by the **Rayleigh Criterion**: $$ R = k_1 \cdot \frac{\lambda}{NA} $$ Where: - $R$ = minimum resolvable feature size (half-pitch) - $k_1$ = process-dependent factor (theoretical minimum: 0.25) - $\lambda$ = wavelength of light - $NA$ = numerical aperture of the optical system ### Depth of Focus (DOF) The depth of focus determines process tolerance: $$ DOF = k_2 \cdot \frac{\lambda}{NA^2} $$ Where: - $DOF$ = depth of focus - $k_2$ = process-dependent constant - $\lambda$ = wavelength - $NA$ = numerical aperture ### Resolution Enhancement Techniques (RET) 1. **Optical Proximity Correction (OPC)** - Sub-resolution assist features (SRAFs) - Serif additions/subtractions - Line-end extensions 2. **Phase-Shift Masks (PSM)** - Alternating PSM - Attenuated PSM - Phase difference: $\Delta\phi = \pi$ (180°) 3. **Multiple Patterning** - LELE (Litho-Etch-Litho-Etch) - SADP (Self-Aligned Double Patterning) - SAQP (Self-Aligned Quadruple Patterning) ## 3. EUV Technology ### Wavelength Comparison | Technology | Wavelength ($\lambda$) | Relative Resolution | |------------|------------------------|---------------------| | i-line | 365 nm | 1.00× | | KrF DUV | 248 nm | 1.47× | | ArF DUV | 193 nm | 1.89× | | ArF Immersion | 193 nm (effective ~134 nm) | 2.72× | | **EUV** | **13.5 nm** | **27.04×** | ### EUV Light Generation Process The **Laser-Produced Plasma (LPP)** source generates EUV light: 1. **Tin Droplet Generation** - Droplet diameter: $\approx 25 \, \mu m$ - Droplet velocity: $v \approx 70 \, m/s$ - Droplet frequency: $f = 50,000 \, Hz$ 2. **Pre-Pulse Laser** - Flattens the tin droplet into a pancake shape - Increases target cross-section 3. **Main Pulse Laser** - CO₂ laser power: $P \approx 20-30 \, kW$ - Creates plasma at temperature: $T \approx 500,000 \, K$ - Plasma emits EUV at $\lambda = 13.5 \, nm$ 4. **Conversion Efficiency** $$ \eta_{CE} = \frac{P_{EUV}}{P_{laser}} \approx 5-6\% $$ ### Optical Since EUV is absorbed by all materials, the system uses **reflective optics**: - **Mirror Material:** Multi-layer Mo/Si (Molybdenum/Silicon) - **Layer Thickness:** $$ d = \frac{\lambda}{2} \approx 6.75 \, nm $$ - **Number of Layer Pairs:** ~40-50 - **Peak Reflectivity:** $R \approx 67-70\%$ - **Total Optical Path Reflectivity:** $$ R_{total} = R^n \approx (0.67)^{11} \approx 1.2\% $$ ### EUV Mask Structure ``` - ┌─────────────────────────────────────┐ │ Absorber (TaN/TaBN) │ ← Pattern layer (~60-80 nm) ├─────────────────────────────────────┤ │ Capping Layer (Ru) │ ← Protective layer (~2.5 nm) ├─────────────────────────────────────┤ │ Multi-Layer Mirror (Mo/Si) │ ← 40-50 bilayer pairs │ ~~~~~~~~~~~~~~~~~~~~~~~~ │ │ ~~~~~~~~~~~~~~~~~~~~~~~~ │ ├─────────────────────────────────────┤ │ Low Thermal Expansion │ ← Substrate │ Material (LTEM) │ └─────────────────────────────────────┘ ``` ## 4. Scanner Systems ### Scanner vs. Stepper | Parameter | Stepper | Scanner | |-----------|---------|---------| | Exposure Method | Full-field | Slit scanning | | Field Size | Limited by lens | Larger effective field | | Throughput | Lower | Higher | | Overlay Control | Good | Excellent | ### Scanning Mechanism The wafer and reticle move in opposite directions during exposure: $$ v_{wafer} = \frac{v_{reticle}}{M} $$ Where: - $v_{wafer}$ = wafer stage velocity - $v_{reticle}$ = reticle stage velocity - $M$ = demagnification factor (typically 4×) ### Stage Positioning Accuracy - **Overlay Requirement:** $$ \sigma_{overlay} < \frac{CD}{4} \approx 1-2 \, nm $$ - **Stage Position Accuracy:** $$ \Delta x, \Delta y < 0.5 \, nm $$ - **Stage Velocity:** $$ v_{stage} \approx 2 \, m/s $$ ## 5. Specifications ### NXE:3600D Current EUV - **Numerical Aperture:** $NA = 0.33$ - **Wavelength:** $\lambda = 13.5 \, nm$ - **Resolution:** $$ R_{min} = k_1 \cdot \frac{13.5}{0.33} = k_1 \cdot 40.9 \, nm $$ With $k_1 = 0.3$: $R_{min} \approx 13 \, nm$ - **Throughput:** $> 160$ wafers per hour (WPH) - **Overlay:** $< 1.4 \, nm$ (machine-to-machine) - **Source Power:** $> 250 \, W$ at intermediate focus - **Cost:** ~€150-200 million ### TWINSCAN EXE:5000 High-NA EUV - **Numerical Aperture:** $NA = 0.55$ - **Wavelength:** $\lambda = 13.5 \, nm$ - **Resolution:** $$ R_{min} = k_1 \cdot \frac{13.5}{0.55} = k_1 \cdot 24.5 \, nm $$ With $k_1 = 0.3$: $R_{min} \approx 8 \, nm$ - **Resolution Improvement:** $$ \frac{R_{0.33}}{R_{0.55}} = \frac{0.55}{0.33} = 1.67\times $$ - **Anamorphic Optics:** 4× reduction in X, 8× reduction in Y - **Cost:** ~€350+ million - **Weight:** ~250 tons ### Throughput Calculation Wafers per hour (WPH) depends on: $$ WPH = \frac{3600}{t_{expose} + t_{move} + t_{align} + t_{overhead}} $$ Where typical values are: - $t_{expose}$ = exposure time per die - $t_{move}$ = stage movement time - $t_{align}$ = alignment time - $t_{overhead}$ = wafer load/unload time ## 6. Geopolitical Context ### Technology Nodes | Company | Node | EUV Layers | |---------|------|------------| | TSMC | N3 | ~20-25 | | TSMC | N2 | ~25-30 | | Samsung | 3GAE | ~20+ | | Intel | Intel 4 | ~5-10 | | Intel | Intel 18A | ~20+ | ### Economic Impact - **EUV System Cost:** $150-350M per tool - **Annual Revenue (ASML 2023):** ~€27.6 billion - **R&D Investment:** ~€4 billion annually - **Backlog:** >€40 billion ## Mathematical ### Equations | Equation | Formula | Application | |----------|---------|-------------| | Rayleigh Resolution | $R = k_1 \frac{\lambda}{NA}$ | Feature size limit | | Depth of Focus | $DOF = k_2 \frac{\lambda}{NA^2}$ | Process window | | Bragg Reflection | $2d\sin\theta = n\lambda$ | Mirror design | | Conversion Efficiency | $\eta = \frac{P_{out}}{P_{in}}$ | Source efficiency | | Throughput | $WPH = \frac{3600}{\sum t_i}$ | Productivity | ### Node Roadmap with Resolution | Node | Half-Pitch | EUV Layers | Year | |------|------------|------------|------| | 7nm | ~36 nm | 5-10 | 2018 | | 5nm | ~27 nm | 10-15 | 2020 | | 3nm | ~21 nm | 20-25 | 2022 | | 2nm | ~15 nm | 25-30 | 2025 | | A14 | ~10 nm | High-NA | 2027+| ## Physical Constants | Constant | Symbol | Value | |----------|--------|-------| | EUV Wavelength | $\lambda_{EUV}$ | $13.5 \, nm$ | | Speed of Light | $c$ | $3 \times 10^8 \, m/s$ | | Planck's Constant | $h$ | $6.626 \times 10^{-34} \, J \cdot s$ | | EUV Photon Energy | $E_{EUV}$ | $91.8 \, eV$ | Photon energy calculation: $$ E = \frac{hc}{\lambda} = \frac{(6.626 \times 10^{-34})(3 \times 10^8)}{13.5 \times 10^{-9}} = 1.47 \times 10^{-17} \, J = 91.8 \, eV $$
# EUV Lithography ## EUV ## 1. Introduction to EUV ### Market - 100% market share in EUV lithography by top EUV vendor - ~90% market share in advanced DUV lithography - Critical supplier to all leading-edge semiconductor fabs ## 2. Lithography Fundamentals ### The Rayleigh Criterion The fundamental resolution limit in optical lithography is governed by the **Rayleigh Criterion**: $$ R = k_1 \cdot \frac{\lambda}{NA} $$ Where: - $R$ = minimum resolvable feature size (half-pitch) - $k_1$ = process-dependent factor (theoretical minimum: 0.25) - $\lambda$ = wavelength of light - $NA$ = numerical aperture of the optical system ### Depth of Focus (DOF) The depth of focus determines process tolerance: $$ DOF = k_2 \cdot \frac{\lambda}{NA^2} $$ Where: - $DOF$ = depth of focus - $k_2$ = process-dependent constant - $\lambda$ = wavelength - $NA$ = numerical aperture ### Resolution Enhancement Techniques (RET) 1. **Optical Proximity Correction (OPC)** - Sub-resolution assist features (SRAFs) - Serif additions/subtractions - Line-end extensions 2. **Phase-Shift Masks (PSM)** - Alternating PSM - Attenuated PSM - Phase difference: $\Delta\phi = \pi$ (180°) 3. **Multiple Patterning** - LELE (Litho-Etch-Litho-Etch) - SADP (Self-Aligned Double Patterning) - SAQP (Self-Aligned Quadruple Patterning) ## 3. EUV Technology ### Wavelength Comparison | Technology | Wavelength ($\lambda$) | Relative Resolution | |------------|------------------------|---------------------| | i-line | 365 nm | 1.00× | | KrF DUV | 248 nm | 1.47× | | ArF DUV | 193 nm | 1.89× | | ArF Immersion | 193 nm (effective ~134 nm) | 2.72× | | **EUV** | **13.5 nm** | **27.04×** | ### EUV Light Generation Process The **Laser-Produced Plasma (LPP)** source generates EUV light: 1. **Tin Droplet Generation** - Droplet diameter: $\approx 25 \, \mu m$ - Droplet velocity: $v \approx 70 \, m/s$ - Droplet frequency: $f = 50,000 \, Hz$ 2. **Pre-Pulse Laser** - Flattens the tin droplet into a pancake shape - Increases target cross-section 3. **Main Pulse Laser** - CO₂ laser power: $P \approx 20-30 \, kW$ - Creates plasma at temperature: $T \approx 500,000 \, K$ - Plasma emits EUV at $\lambda = 13.5 \, nm$ 4. **Conversion Efficiency** $$ \eta_{CE} = \frac{P_{EUV}}{P_{laser}} \approx 5-6\% $$ ### Optical Since EUV is absorbed by all materials, the system uses **reflective optics**: - **Mirror Material:** Multi-layer Mo/Si (Molybdenum/Silicon) - **Layer Thickness:** $$ d = \frac{\lambda}{2} \approx 6.75 \, nm $$ - **Number of Layer Pairs:** ~40-50 - **Peak Reflectivity:** $R \approx 67-70\%$ - **Total Optical Path Reflectivity:** $$ R_{total} = R^n \approx (0.67)^{11} \approx 1.2\% $$ ### EUV Mask Structure ``` - ┌─────────────────────────────────────┐ │ Absorber (TaN/TaBN) │ ← Pattern layer (~60-80 nm) ├─────────────────────────────────────┤ │ Capping Layer (Ru) │ ← Protective layer (~2.5 nm) ├─────────────────────────────────────┤ │ Multi-Layer Mirror (Mo/Si) │ ← 40-50 bilayer pairs │ ~~~~~~~~~~~~~~~~~~~~~~~~ │ │ ~~~~~~~~~~~~~~~~~~~~~~~~ │ ├─────────────────────────────────────┤ │ Low Thermal Expansion │ ← Substrate │ Material (LTEM) │ └─────────────────────────────────────┘ ``` ## 4. Scanner Systems ### Scanner vs. Stepper | Parameter | Stepper | Scanner | |-----------|---------|---------| | Exposure Method | Full-field | Slit scanning | | Field Size | Limited by lens | Larger effective field | | Throughput | Lower | Higher | | Overlay Control | Good | Excellent | ### Scanning Mechanism The wafer and reticle move in opposite directions during exposure: $$ v_{wafer} = \frac{v_{reticle}}{M} $$ Where: - $v_{wafer}$ = wafer stage velocity - $v_{reticle}$ = reticle stage velocity - $M$ = demagnification factor (typically 4×) ### Stage Positioning Accuracy - **Overlay Requirement:** $$ \sigma_{overlay} < \frac{CD}{4} \approx 1-2 \, nm $$ - **Stage Position Accuracy:** $$ \Delta x, \Delta y < 0.5 \, nm $$ - **Stage Velocity:** $$ v_{stage} \approx 2 \, m/s $$ ## 5. Specifications ### NXE:3600D Current EUV - **Numerical Aperture:** $NA = 0.33$ - **Wavelength:** $\lambda = 13.5 \, nm$ - **Resolution:** $$ R_{min} = k_1 \cdot \frac{13.5}{0.33} = k_1 \cdot 40.9 \, nm $$ With $k_1 = 0.3$: $R_{min} \approx 13 \, nm$ - **Throughput:** $> 160$ wafers per hour (WPH) - **Overlay:** $< 1.4 \, nm$ (machine-to-machine) - **Source Power:** $> 250 \, W$ at intermediate focus - **Cost:** ~€150-200 million ### TWINSCAN EXE:5000 High-NA EUV - **Numerical Aperture:** $NA = 0.55$ - **Wavelength:** $\lambda = 13.5 \, nm$ - **Resolution:** $$ R_{min} = k_1 \cdot \frac{13.5}{0.55} = k_1 \cdot 24.5 \, nm $$ With $k_1 = 0.3$: $R_{min} \approx 8 \, nm$ - **Resolution Improvement:** $$ \frac{R_{0.33}}{R_{0.55}} = \frac{0.55}{0.33} = 1.67\times $$ - **Anamorphic Optics:** 4× reduction in X, 8× reduction in Y - **Cost:** ~€350+ million - **Weight:** ~250 tons ### Throughput Calculation Wafers per hour (WPH) depends on: $$ WPH = \frac{3600}{t_{expose} + t_{move} + t_{align} + t_{overhead}} $$ Where typical values are: - $t_{expose}$ = exposure time per die - $t_{move}$ = stage movement time - $t_{align}$ = alignment time - $t_{overhead}$ = wafer load/unload time ## 6. Geopolitical Context ### Technology Nodes | Company | Node | EUV Layers | |---------|------|------------| | TSMC | N3 | ~20-25 | | TSMC | N2 | ~25-30 | | Samsung | 3GAE | ~20+ | | Intel | Intel 4 | ~5-10 | | Intel | Intel 18A | ~20+ | ### Economic Impact - **EUV System Cost:** $150-350M per tool - **Annual Revenue (ASML 2023):** ~€27.6 billion - **R&D Investment:** ~€4 billion annually - **Backlog:** >€40 billion ## Mathematical ### Equations | Equation | Formula | Application | |----------|---------|-------------| | Rayleigh Resolution | $R = k_1 \frac{\lambda}{NA}$ | Feature size limit | | Depth of Focus | $DOF = k_2 \frac{\lambda}{NA^2}$ | Process window | | Bragg Reflection | $2d\sin\theta = n\lambda$ | Mirror design | | Conversion Efficiency | $\eta = \frac{P_{out}}{P_{in}}$ | Source efficiency | | Throughput | $WPH = \frac{3600}{\sum t_i}$ | Productivity | ### Node Roadmap with Resolution | Node | Half-Pitch | EUV Layers | Year | |------|------------|------------|------| | 7nm | ~36 nm | 5-10 | 2018 | | 5nm | ~27 nm | 10-15 | 2020 | | 3nm | ~21 nm | 20-25 | 2022 | | 2nm | ~15 nm | 25-30 | 2025 | | A14 | ~10 nm | High-NA | 2027+| ## Physical Constants | Constant | Symbol | Value | |----------|--------|-------| | EUV Wavelength | $\lambda_{EUV}$ | $13.5 \, nm$ | | Speed of Light | $c$ | $3 \times 10^8 \, m/s$ | | Planck's Constant | $h$ | $6.626 \times 10^{-34} \, J \cdot s$ | | EUV Photon Energy | $E_{EUV}$ | $91.8 \, eV$ | Photon energy calculation: $$ E = \frac{hc}{\lambda} = \frac{(6.626 \times 10^{-34})(3 \times 10^8)}{13.5 \times 10^{-9}} = 1.47 \times 10^{-17} \, J = 91.8 \, eV $$
3D atomic-scale composition analysis.
Measure roughness at atomic scale.
Partially transmit and phase-shift light.
Surface elemental analysis with depth profiling.
Measure small angles precisely.
Map crystal orientations in TEM.
ML-based defect categorization.
ML-based defect categorization.