package body size, packaging
Length and width of package.
72 technical terms and definitions
Length and width of package.
Physical size measurements.
Total package thickness.
Laser-mark chips with part number date code.
Encapsulate devices in protective material.
Dimensional specifications.
Interconnect layer between die and PCB.
Bending due to molding stress.
Advanced packaging connects multiple dies. Chiplets enable mix-and-match. Interposers and 3D stacking.
Measure electrical parameters to monitor process health.
Find trade-off curves between competing goals.
Count particles per unit area.
Characterize particle sizes.
# Pattern Placement 1. The Core Problem In semiconductor manufacturing, we must transfer nanoscale patterns from a mask to a silicon wafer with sub-nanometer precision across billions of features. The mathematical challenge is threefold: - Forward modeling : Predicting what pattern will actually print given a mask design - Inverse problem : Determining what mask to use to achieve a desired pattern - Optimization under uncertainty : Ensuring robust manufacturing despite process variations 2. Optical Lithography Mathematics 2.1 Aerial Image Formation (Hopkins Formulation) The intensity distribution at the wafer plane is governed by partially coherent imaging theory: $$ I(x,y) = \iint\!\!\iint TCC(f_1,g_1,f_2,g_2) \cdot M(f_1,g_1) \cdot M^*(f_2,g_2) \cdot e^{2\pi i[(f_1-f_2)x + (g_1-g_2)y]} \, df_1\,dg_1\,df_2\,dg_2 $$ Where: - $TCC$ (Transmission Cross-Coefficient) encodes the optical system - $M(f,g)$ is the Fourier transform of the mask transmission function - The double integral reflects the coherent superposition from different source points 2.2 Resolution Limits The Rayleigh criterion establishes fundamental constraints: $$ R_{min} = k_1 \cdot \frac{\lambda}{NA} $$ $$ DOF = k_2 \cdot \frac{\lambda}{NA^2} $$ Parameters: | Parameter | DUV (ArF) | EUV | |-----------|-----------|-----| | Wavelength $\lambda$ | 193 nm | 13.5 nm | | Typical NA | 1.35 | 0.33 (High-NA: 0.55) | | Min. pitch | ~36 nm | ~24 nm | The $k_1$ factor (process-dependent, typically 0.25–0.4) is where most of the mathematical innovation occurs. 2.3 Image Log-Slope (ILS) The image log-slope is a critical metric for pattern fidelity: $$ ILS = \frac{1}{I} \left| \frac{dI}{dx} \right|_{edge} $$ Higher ILS values indicate better edge definition and process margin. 2.4 Modulation Transfer Function (MTF) The optical system's ability to transfer contrast is characterized by: $$ MTF(f) = \frac{I_{max}(f) - I_{min}(f)}{I_{max}(f) + I_{min}(f)} $$ 3. Photoresist Modeling The resist transforms the aerial image into a physical pattern through coupled partial differential equations. 3.1 Exposure Kinetics (Dill Model) Light absorption in resist: $$ \frac{\partial I}{\partial z} = -\alpha(M) \cdot I $$ Absorption coefficient: $$ \alpha = A \cdot M + B $$ Photoactive compound decomposition: $$ \frac{\partial M}{\partial t} = -C \cdot I \cdot M $$ Where: - $A$ = bleachable absorption coefficient (μm⁻¹) - $B$ = non-bleachable absorption coefficient (μm⁻¹) - $C$ = exposure rate constant (cm²/mJ) - $M$ = relative PAC concentration (0 to 1) 3.2 Chemically Amplified Resist (Diffusion-Reaction) For modern resists, photoacid generation and diffusion govern pattern formation: $$ \frac{\partial [H^+]}{\partial t} = D\nabla^2[H^+] - k_{quench}[H^+][Q] - k_{react}[H^+][Polymer] $$ Components: - $D$ = diffusion coefficient of photoacid - $k_{quench}$ = quencher reaction rate - $k_{react}$ = deprotection reaction rate - $[Q]$ = quencher concentration 3.3 Development Rate Models The Mack model relates local chemistry to dissolution: $$ R(m) = R_{max} \cdot \frac{(a+1)(1-m)^n}{a + (1-m)^n} + R_{min} $$ Where: - $m$ = normalized inhibitor concentration - $n$ = development selectivity parameter - $a$ = threshold parameter - $R_{max}$, $R_{min}$ = maximum and minimum development rates 3.4 Resist Profile Evolution The resist surface evolves according to: $$ \frac{\partial z}{\partial t} = -R(m(x,y,z)) \cdot \hat{n} $$ Where $\hat{n}$ is the surface normal vector. 4. Pattern Placement and Overlay Mathematics 4.1 Overlay Error Decomposition Total placement error is modeled as a polynomial field: $$ \delta x(X,Y) = a_0 + a_1 X + a_2 Y + a_3 XY + a_4 X^2 + a_5 Y^2 + \ldots $$ $$ \delta y(X,Y) = b_0 + b_1 X + b_2 Y + b_3 XY + b_4 X^2 + b_5 Y^2 + \ldots $$ Physical interpretation of coefficients: | Term | Coefficient | Physical Meaning | |------|-------------|------------------| | Translation | $a_0, b_0$ | Rigid shift in x, y | | Magnification | $a_1, b_2$ | Isotropic scaling | | Rotation | $a_2, -b_1$ | In-plane rotation | | Asymmetric Mag | $a_1 - b_2$ | Anisotropic scaling | | Trapezoid | $a_3, b_3$ | Keystone distortion | | Higher order | $a_4, a_5, \ldots$ | Lens aberrations, wafer distortion | 4.2 Edge Placement Error (EPE) Budget $$ EPE_{total}^2 = EPE_{overlay}^2 + EPE_{CD}^2 + EPE_{LER}^2 + EPE_{stochastic}^2 $$ Error budget at 3nm node: - Total EPE budget: ~1-2 nm - Each component must be controlled to sub-nanometer precision 4.3 Overlay Correction Model The correction applied to the scanner is: $$ \begin{pmatrix} \Delta x \\ \Delta y \end{pmatrix} = \begin{pmatrix} 1 + M_x & R + O_x \\ -R + O_y & 1 + M_y \end{pmatrix} \begin{pmatrix} X \\ Y \end{pmatrix} + \begin{pmatrix} T_x \\ T_y \end{pmatrix} $$ Where: - $T_x, T_y$ = translation corrections - $M_x, M_y$ = magnification corrections - $R$ = rotation correction - $O_x, O_y$ = orthogonality corrections 4.4 Wafer Distortion Modeling Wafer-level distortion is often modeled using Zernike polynomials: $$ W(r, \theta) = \sum_{n,m} Z_n^m \cdot R_n^m(r) \cdot \cos(m\theta) $$ 5. Computational Lithography: The Inverse Problem 5.1 Optical Proximity Correction (OPC) Given target pattern $P_{target}$, find mask $M$ such that: $$ \min_M \|Litho(M) - P_{target}\|^2 + \lambda \cdot \mathcal{R}(M) $$ Where: - $Litho(\cdot)$ is the forward lithography model - $\mathcal{R}(M)$ enforces mask manufacturability constraints - $\lambda$ is the regularization weight 5.2 Gradient-Based Optimization Using the chain rule through the forward model: $$ \frac{\partial L}{\partial M} = \frac{\partial L}{\partial I} \cdot \frac{\partial I}{\partial M} $$ The aerial image gradient $\frac{\partial I}{\partial M}$ can be computed efficiently via: $$ \frac{\partial I}{\partial M}(x,y) = 2 \cdot \text{Re}\left[\iint TCC \cdot \frac{\partial M}{\partial M_{pixel}} \cdot M^* \cdot e^{i\phi} \, df\,dg\right] $$ 5.3 Inverse Lithography Technology (ILT) For curvilinear masks, the level-set method parametrizes the mask boundary: $$ \frac{\partial \phi}{\partial t} + F|\nabla\phi| = 0 $$ Where: - $\phi$ is the signed distance function - $F$ is the speed function derived from the cost gradient: $$ F = -\frac{\partial L}{\partial \phi} $$ 5.4 Source-Mask Optimization (SMO) Joint optimization over source shape $S$ and mask $M$: $$ \min_{S,M} \mathcal{L}(S,M) = \|I(S,M) - I_{target}\|^2 + \alpha \mathcal{R}_S(S) + \beta \mathcal{R}_M(M) $$ Optimization approach: 1. Fix $S$, optimize $M$ (mask optimization) 2. Fix $M$, optimize $S$ (source optimization) 3. Iterate until convergence 5.5 Process Window Optimization Maximize the overlapping process window: $$ \max_{M} \left[ \min_{(dose, focus) \in PW} \left( CD_{target} - |CD(dose, focus) - CD_{target}| \right) \right] $$ 6. Multi-Patterning Mathematics Below ~40nm pitch with 193nm lithography, single exposure cannot resolve features. 6.1 Graph Coloring Formulation Problem: Assign features to masks such that no two features on the same mask violate minimum spacing. Graph representation: - Nodes = pattern features - Edges = spacing conflicts (features too close for single exposure) - Colors = mask assignments For double patterning (LELE), this becomes graph 2-coloring . 6.2 Integer Linear Programming Formulation Objective: Minimize stitches (pattern splits) $$ \min \sum_i c_i \cdot s_i $$ Subject to: $$ x_i + x_j \geq 1 \quad \forall (i,j) \in \text{Conflicts} $$ $$ x_i \in \{0,1\} $$ 6.3 Conflict Graph Analysis The chromatic number $\chi(G)$ determines minimum masks needed: - $\chi(G) = 2$ → Double patterning feasible - $\chi(G) = 3$ → Triple patterning required - $\chi(G) > 3$ → Layout modification needed Odd cycle detection: $$ \text{Conflict if } \exists \text{ cycle of odd length in conflict graph} $$ 6.4 Self-Aligned Patterning (SADP/SAQP) Spacer-based approaches achieve pitch multiplication: $$ Pitch_{final} = \frac{Pitch_{mandrel}}{2^n} $$ Where $n$ is the number of spacer iterations. SADP constraints: - All lines have same width (spacer width) - Only certain topologies are achievable - Tip-to-tip spacing constraints 7. Stochastic Effects (Critical for EUV) At EUV wavelengths, photon shot noise becomes significant. 7.1 Photon Statistics Photon count follows Poisson statistics: $$ P(n) = \frac{\lambda^n e^{-\lambda}}{n!} $$ Where: - $n$ = number of photons - $\lambda$ = expected photon count The resulting dose variation: $$ \frac{\sigma_{dose}}{dose} = \frac{1}{\sqrt{N_{photons}}} $$ 7.2 Photon Count Estimation Number of photons per pixel: $$ N_{photons} = \frac{Dose \cdot A_{pixel}}{E_{photon}} = \frac{Dose \cdot A_{pixel} \cdot \lambda}{hc} $$ For EUV (λ = 13.5 nm): $$ E_{photon} = \frac{hc}{\lambda} \approx 92 \text{ eV} $$ 7.3 Stochastic Edge Placement Error $$ \sigma_{SEPE} \propto \frac{1}{\sqrt{Dose \cdot ILS}} $$ The stochastic EPE relationship: $$ \sigma_{EPE,stoch} = \frac{\sigma_{dose,local}}{ILS_{resist}} \approx \sqrt{\frac{2}{\pi}} \cdot \frac{1}{ILS \cdot \sqrt{n_{eff}}} $$ Where $n_{eff}$ is the effective number of photons contributing to the edge. 7.4 Line Edge Roughness (LER) Power spectral density of edge roughness: $$ PSD(f) = \frac{2\sigma^2 \xi}{1 + (2\pi f \xi)^{2\alpha}} $$ Where: - $\sigma$ = RMS roughness amplitude - $\xi$ = correlation length - $\alpha$ = roughness exponent (Hurst parameter) 7.5 Defect Probability The probability of a stochastic failure: $$ P_{fail} = 1 - \text{erf}\left(\frac{CD/2 - \mu_{edge}}{\sqrt{2}\sigma_{edge}}\right) $$ 8. Physical Design Placement Optimization At the design level, cell placement is a large-scale optimization problem. 8.1 Quadratic Placement Minimize half-perimeter wirelength approximation: $$ W = \sum_{(i,j) \in E} w_{ij} \left[(x_i - x_j)^2 + (y_i - y_j)^2\right] $$ This yields a sparse linear system: $$ Qx = b_x, \quad Qy = b_y $$ Where $Q$ is the weighted graph Laplacian: $$ Q_{ii} = \sum_{j \neq i} w_{ij}, \quad Q_{ij} = -w_{ij} $$ 8.2 Half-Perimeter Wirelength (HPWL) For a net with pins at positions $\{(x_i, y_i)\}$: $$ HPWL = \left(\max_i x_i - \min_i x_i\right) + \left(\max_i y_i - \min_i y_i\right) $$ 8.3 Density-Aware Placement To prevent overlap, add density constraints: $$ \sum_{c \in bin(k)} A_c \leq D_{max} \cdot A_{bin} \quad \forall k $$ Solved via augmented Lagrangian: $$ \mathcal{L}(x, \lambda) = W(x) + \sum_k \lambda_k \left(\sum_{c \in bin(k)} A_c - D_{max} \cdot A_{bin}\right) $$ 8.4 Timing-Driven Placement With timing criticality weights $w_i$: $$ \min \sum_i w_i \cdot d_i(placement) $$ Delay model (Elmore delay): $$ \tau_{Elmore} = \sum_{i} R_i \cdot C_{downstream,i} $$ 8.5 Electromigration-Aware Placement Current density constraint: $$ J = \frac{I}{A_{wire}} \leq J_{max} $$ $$ MTTF = A \cdot J^{-n} \cdot e^{\frac{E_a}{kT}} $$ 9. Process Control Mathematics 9.1 Run-to-Run Control EWMA (Exponentially Weighted Moving Average): $$ Target_{n+1} = \lambda \cdot Measurement_n + (1-\lambda) \cdot Target_n $$ Where: - $\lambda$ = smoothing factor (0 < λ ≤ 1) - Smaller $\lambda$ → more smoothing, slower response - Larger $\lambda$ → less smoothing, faster response 9.2 State-Space Model Process dynamics: $$ x_{k+1} = Ax_k + Bu_k + w_k $$ $$ y_k = Cx_k + v_k $$ Where: - $x_k$ = state vector (e.g., tool drift) - $u_k$ = control input (recipe adjustments) - $y_k$ = measurement output - $w_k, v_k$ = process and measurement noise 9.3 Kalman Filter Prediction step: $$ \hat{x}_{k|k-1} = A\hat{x}_{k-1|k-1} + Bu_k $$ $$ P_{k|k-1} = AP_{k-1|k-1}A^T + Q $$ Update step: $$ K_k = P_{k|k-1}C^T(CP_{k|k-1}C^T + R)^{-1} $$ $$ \hat{x}_{k|k} = \hat{x}_{k|k-1} + K_k(y_k - C\hat{x}_{k|k-1}) $$ 9.4 Model Predictive Control (MPC) Optimize over prediction horizon $N$: $$ \min_{u_0, \ldots, u_{N-1}} \sum_{k=0}^{N-1} \left[ (y_k - y_{ref})^T Q (y_k - y_{ref}) + u_k^T R u_k \right] $$ Subject to: - State dynamics - Input constraints: $u_{min} \leq u_k \leq u_{max}$ - Output constraints: $y_{min} \leq y_k \leq y_{max}$ 9.5 Virtual Metrology Predict wafer quality from equipment sensor data: $$ \hat{y} = f(\mathbf{s}; \theta) = \mathbf{s}^T \mathbf{w} + b $$ For PLS (Partial Least Squares): $$ \mathbf{X} = \mathbf{T}\mathbf{P}^T + \mathbf{E} $$ $$ \mathbf{y} = \mathbf{T}\mathbf{q} + \mathbf{f} $$ 10. Machine Learning Integration Modern fabs increasingly use ML alongside physics-based models. 10.1 Hotspot Detection Classification problem: $$ P(hotspot | pattern) = \sigma\left(\mathbf{W}^T \cdot CNN(pattern) + b\right) $$ Where: - $\sigma$ = sigmoid function - $CNN$ = convolutional neural network feature extractor Input representations: - Rasterized pattern images - Graph neural networks on layout topology 10.2 Accelerated OPC Neural networks predict corrections: $$ \Delta_{OPC} = NN(P_{local}, context) $$ Benefits: - Reduce iterations from ~20 to ~3-5 - Enable curvilinear OPC at practical runtime 10.3 Etch Modeling with ML Hybrid physics-ML approach: $$ CD_{final} = CD_{resist} + \Delta_{etch}(params) $$ $$ \Delta_{etch} = f_{physics}(params) + NN_{correction}(params, pattern) $$ 10.4 Physics-Informed Neural Networks (PINNs) Combine data with physics constraints: $$ \mathcal{L} = \mathcal{L}_{data} + \lambda \cdot \mathcal{L}_{physics} $$ Physics loss example (diffusion equation): $$ \mathcal{L}_{physics} = \left\| \frac{\partial u}{\partial t} - D\nabla^2 u \right\|^2 $$ 10.5 Yield Prediction Random Forest / Gradient Boosting: $$ \hat{Y} = \sum_{m=1}^{M} \gamma_m h_m(\mathbf{x}) $$ Where: - $h_m$ = weak learners (decision trees) - $\gamma_m$ = weights 11. Design-Technology Co-Optimization (DTCO) At advanced nodes, design and process must be optimized jointly. 11.1 Multi-Objective Formulation $$ \min \left[ f_{performance}(x), f_{power}(x), f_{area}(x), f_{yield}(x) \right] $$ Subject to: - Design rule constraints: $g_{DR}(x) \leq 0$ - Process capability constraints: $g_{process}(x) \leq 0$ - Reliability constraints: $g_{reliability}(x) \leq 0$ 11.2 Pareto Optimality A solution $x^*$ is Pareto optimal if: $$ \nexists x : f_i(x) \leq f_i(x^*) \; \forall i \text{ and } f_j(x) < f_j(x^*) \text{ for some } j $$ 11.3 Design Rule Optimization Minimize total cost: $$ \min_{DR} \left[ C_{area}(DR) + C_{yield}(DR) + C_{performance}(DR) \right] $$ Trade-off relationships: - Tighter metal pitch → smaller area, lower yield - Larger via size → better reliability, larger area - More routing layers → better routability, higher cost 11.4 Standard Cell Optimization Cell height optimization: $$ H_{cell} = n \cdot CPP \cdot k $$ Where: - $CPP$ = contacted poly pitch - $n$ = number of tracks - $k$ = scaling factor 11.5 Interconnect RC Optimization Resistance: $$ R = \rho \cdot \frac{L}{W \cdot H} $$ Capacitance (parallel plate approximation): $$ C = \epsilon \cdot \frac{A}{d} $$ RC delay: $$ \tau_{RC} = R \cdot C \propto \frac{\rho \epsilon L^2}{W H d} $$ 12. Mathematical Stack | Level | Mathematics | Key Challenge | |-------|-------------|---------------| | Optics | Fourier optics, Maxwell equations | Partially coherent imaging | | Resist | Diffusion-reaction PDEs | Nonlinear kinetics | | Pattern Transfer | Etch modeling, surface evolution | Multiphysics coupling | | Placement | Graph theory, ILP, quadratic programming | NP-hard decomposition | | Overlay | Polynomial field fitting | Sub-nm registration | | OPC/ILT | Nonlinear inverse problems | Non-convex optimization | | Stochastics | Poisson processes, Monte Carlo | Low-photon regimes | | Control | State-space, Kalman filtering | Real-time adaptation | | ML | CNNs, GNNs, PINNs | Generalization, interpretability | Equations Fundamental Lithography $$ R_{min} = k_1 \cdot \frac{\lambda}{NA} \quad \text{(Resolution)} $$ $$ DOF = k_2 \cdot \frac{\lambda}{NA^2} \quad \text{(Depth of Focus)} $$ Edge Placement $$ EPE_{total} = \sqrt{EPE_{overlay}^2 + EPE_{CD}^2 + EPE_{LER}^2 + EPE_{stoch}^2} $$ Stochastic Limits (EUV) $$ \sigma_{EPE,stoch} \propto \frac{1}{\sqrt{Dose \cdot ILS}} $$ OPC Optimization $$ \min_M \|Litho(M) - P_{target}\|^2 + \lambda \mathcal{R}(M) $$
Inspect after patterning.
# Principal Component Analysis (PCA) in Semiconductor Manufacturing: Mathematical Foundations 1. Introduction and Motivation Semiconductor manufacturing is one of the most complex industrial processes, involving hundreds to thousands of process variables across fabrication steps like lithography, etching, chemical vapor deposition (CVD), ion implantation, and chemical mechanical polishing (CMP). A single wafer fab might monitor 2,000–10,000 sensor readings and process parameters simultaneously. PCA addresses a fundamental challenge: how do you extract meaningful patterns from massively high-dimensional data while separating true process variation from noise? 2. The Mathematical Framework of PCA 2.1 Problem Setup Let X be an n × p data matrix where: • n = number of observations (wafers, lots, or time points) • p = number of variables (sensor readings, metrology measurements) In semiconductor contexts, p is often very large (hundreds or thousands), while n might be comparable or even smaller. 2.2 Centering and Standardization Step 1: Center the data For each variable j, compute the mean: • x̄ⱼ = (1/n) Σᵢxᵢⱼ Create the centered matrix X̃ where: • x̃ᵢⱼ = xᵢⱼ - x̄ⱼ Step 2: Standardize (optional but common) In semiconductor manufacturing, variables have vastly different scales (temperature in °C, pressure in mTorr, RF power in watts, thickness in angstroms). Standardization is typically essential: • zᵢⱼ = (xᵢⱼ - x̄ⱼ) / sⱼ where: • sⱼ = √[(1/(n-1)) Σᵢ(xᵢⱼ - x̄ⱼ)²] This gives the standardized matrix Z. 2.3 The Covariance and Correlation Matrices The sample covariance matrix of centered data: • S = (1/(n-1)) X̃ᵀX̃ The correlation matrix (when using standardized data): • R = (1/(n-1)) ZᵀZ Both are p × p symmetric positive semi-definite matrices. 3. The Eigenvalue Problem: Core of PCA 3.1 Eigendecomposition PCA seeks to find orthogonal directions that maximize variance. This leads to the eigenvalue problem: • Svₖ = λₖvₖ Where: • λₖ = k-th eigenvalue (variance captured by PCₖ) • vₖ = k-th eigenvector (loadings defining PCₖ) Properties: • Eigenvalues are non-negative: λ₁ ≥ λ₂ ≥ ⋯ ≥ λₚ ≥ 0 • Eigenvectors are orthonormal: vᵢᵀvⱼ = δᵢⱼ • Total variance: Σₖλₖ = trace(S) = Σⱼsⱼ² 3.2 Derivation via Variance Maximization The first principal component is the unit vector w that maximizes the variance of the projected data: • max_w Var(X̃w) = max_w wᵀSw subject to ‖w‖ = 1. Using Lagrange multipliers: • L = wᵀSw - λ(wᵀw - 1) Taking the gradient and setting to zero: • ∂L/∂w = 2Sw - 2λw = 0 • Sw = λw This proves that the variance-maximizing direction is an eigenvector, and the variance along that direction equals the eigenvalue. 3.3 Singular Value Decomposition (SVD) Approach Computationally, PCA is typically performed via SVD of the centered data matrix: • X̃ = UΣVᵀ Where: • U is n × n orthogonal (left singular vectors) • Σ is n × p diagonal with singular values σ₁ ≥ σ₂ ≥ ⋯ • V is p × p orthogonal (right singular vectors = principal component loadings) The relationship to eigenvalues: • λₖ = σₖ² / (n-1) Why SVD? • Numerically more stable than directly computing S and its eigendecomposition • Works even when p > n (common in semiconductor metrology) • Avoids forming the potentially huge p × p covariance matrix 4. PCA Components and Interpretation 4.1 Loadings (Eigenvectors) The loadings matrix V = [v₁ | v₂ | ⋯ | vₚ] contains the "recipes" for each principal component: • PCₖ = v₁ₖ·(variable 1) + v₂ₖ·(variable 2) + ⋯ + vₚₖ·(variable p) Semiconductor interpretation: If PC₁ has large positive loadings on chamber temperature, chuck temperature, and wall temperature, but small loadings on gas flow rates, then PC₁ represents a "thermal mode" of process variation. 4.2 Scores (Projections) The scores matrix gives each observation's position in the reduced PC space: • T = X̃V or equivalently, using SVD: T = UΣ Each row of T represents a wafer's "coordinates" in the principal component space. 4.3 Variance Explained The proportion of variance explained by the k-th component: • PVEₖ = λₖ / Σⱼλⱼ Cumulative variance explained: • CPVEₖ = Σⱼ₌₁ᵏ PVEⱼ Example: In a 500-variable semiconductor dataset, you might find: • PC1: 35% variance (overall thermal drift) • PC2: 18% variance (pressure/flow mode) • PC3: 8% variance (RF power variation) • First 10 PCs: 85% cumulative variance 5. Dimensionality Reduction and Reconstruction 5.1 Reduced Representation Keeping only the first q principal components (where q ≪ p): • Tᵧ = X̃Vᵧ where Vᵧ is p × q (the first q columns of V). This compresses the data from p dimensions to q dimensions while preserving the most important variation. 5.2 Reconstruction Approximate reconstruction of original data: • X̂ = TᵧVᵧᵀ + 1·x̄ᵀ The reconstruction error (residuals): • E = X̃ - TᵧVᵧᵀ = X̃(I - VᵧVᵧᵀ) 6. Statistical Monitoring Using PCA 6.1 Hotelling's T² Statistic Measures how far a new observation is from the center within the PC model: • T² = Σₖ(tₖ²/λₖ) = tᵀΛᵧ⁻¹t This is a Mahalanobis distance in the reduced space. Control limit (under normality assumption): • T²_α = [q(n²-1) / n(n-q)] × F_α(q, n-q) Semiconductor use: High T² indicates the wafer is "unusual but explained by the model"—variation is in known directions but extreme in magnitude. 6.2 Q-Statistic (Squared Prediction Error) Measures variation outside the model (in the residual space): • Q = eᵀe = ‖x̃ - Vᵧt‖² = Σₖ₌ᵧ₊₁ᵖ tₖ² Approximate control limit (Jackson-Mudholkar): • Q_α = θ₁ × [c_α√(2θ₂h₀²)/θ₁ + 1 + θ₂h₀(h₀-1)/θ₁²]^(1/h₀) where θᵢ = Σₖ₌ᵧ₊₁ᵖ λₖⁱ and h₀ = 1 - 2θ₁θ₃/(3θ₂²) Semiconductor use: High Q indicates a new type of variation not seen in the training data—potentially a novel fault condition. 6.3 Combined Monitoring Logic • T² Normal + Q Normal → Process in control • T² High + Q Normal → Known variation, extreme magnitude • T² Normal + Q High → New variation pattern • T² High + Q High → Severe, possibly mixed fault 7. Variable Contribution Analysis When T² or Q exceeds limits, identify which variables are responsible. 7.1 Contributions to T² For observation with score vector t: • Cont_T²(j) = Σₖ(vⱼₖtₖ/√λₖ) × x̃ⱼ Variables with large contributions are driving the out-of-control signal. 7.2 Contributions to Q • Cont_Q(j) = eⱼ² = (x̃ⱼ - Σₖvⱼₖtₖ)² 8. Semiconductor Manufacturing Applications 8.1 Fault Detection and Classification (FDC) Example setup: • 800 sensors on a plasma etch chamber • PCA model built on 2,000 "golden" wafers • Real-time monitoring: compute T² and Q for each new wafer • If limits exceeded: alarm, contribution analysis, automated disposition Typical faults detected: • RF matching network drift (shows in RF-related loadings) • Throttle valve degradation (pressure control variables) • Gas line contamination (specific gas flow signatures) • Chamber seasoning effects (gradual drift in PC scores) 8.2 Virtual Metrology Use PCA to predict expensive metrology from cheap sensor data: • Build PCA model on sensor data X • Relate PC scores to metrology y (e.g., film thickness, CD) via regression: • ŷ = β₀ + βᵀt This is Principal Component Regression (PCR). Advantage: Reduces the p >> n problem; regularizes against overfitting. 8.3 Run-to-Run Control Incorporate PC scores into feedback control loops: • Recipe adjustment = K·(T_target - T_actual) where T is the score vector, enabling multivariate feedback control. 9. Practical Considerations in Semiconductor Fabs 9.1 Choosing the Number of Components (q) Common methods: • Scree plot: Look for "elbow" in eigenvalue plot • Cumulative variance: Choose q such that CPVE ≥ threshold (e.g., 90%) • Cross-validation: Minimize prediction error on held-out data • Parallel analysis: Compare eigenvalues to those from random data In semiconductor FDC, typically q = 5–20 for a 500–1000 variable model. 9.2 Handling Missing Data Common in semiconductor metrology (tool downtime, sampling strategies): • Simple: Impute with variable mean • Iterative PCA: Impute, build PCA, predict missing values, iterate • NIPALS algorithm: Handles missing data natively 9.3 Non-Stationarity and Model Updating Semiconductor processes drift over time (chamber conditioning, consumable wear). Approaches: • Moving window PCA: Rebuild model on recent n observations • Recursive PCA: Update eigendecomposition incrementally • Adaptive thresholds: Adjust control limits based on recent performance 9.4 Nonlinear Extensions When linear PCA is insufficient: • Kernel PCA: Map data to higher-dimensional space via kernel function • Neural network autoencoders: Nonlinear compression/reconstruction • Multiway PCA: For batch processes (unfold 3D array to 2D) 10. Mathematical Example: A Simplified Illustration Consider a toy example with 3 sensors on an etch chamber: • Wafer 1: Temp = 100°C | Pressure = 50 mTorr | RF Power = 3.0 kW • Wafer 2: Temp = 102°C | Pressure = 51 mTorr | RF Power = 3.1 kW • Wafer 3: Temp = 98°C | Pressure = 49 mTorr | RF Power = 2.9 kW • Wafer 4: Temp = 105°C | Pressure = 52 mTorr | RF Power = 3.2 kW • Wafer 5: Temp = 97°C | Pressure = 48 mTorr | RF Power = 2.8 kW Step 1: Standardize (since units differ) After standardization, compute correlation matrix R. Step 2: Eigendecomposition of R • R ≈ [1.0, 0.98, 0.99; 0.98, 1.0, 0.97; 0.99, 0.97, 1.0] Eigenvalues: λ₁ = 2.94, λ₂ = 0.04, λ₃ = 0.02 Step 3: Interpretation • PC1 captures 98% of variance with loadings ≈ [0.58, 0.57, 0.58] • This means all three variables move together (correlated drift) • A single score value summarizes the "overall process state" 11. Summary PCA provides the semiconductor industry with a mathematically rigorous framework for: • Dimensionality reduction: Compress thousands of variables to a manageable number of interpretable components • Fault detection: Monitor T² and Q statistics against control limits • Root cause analysis: Contribution plots identify which sensors/variables are responsible for alarms • Virtual metrology: Predict quality metrics from process data • Process understanding: Eigenvectors reveal the underlying modes of process variation The core mathematics—eigendecomposition, variance maximization, and orthogonal projection—remain the same whether you're analyzing 3 variables or 3,000. The elegance of PCA lies in this scalability, making it indispensable for modern semiconductor manufacturing where data volumes continue to grow exponentially. Further Research: • Advanced PCA Methods: Explore kernel PCA for nonlinear dimensionality reduction, sparse PCA for interpretable loadings, and robust PCA for outlier resistance. • Multiway PCA: For batch semiconductor processes, multiway PCA unfolds 3D data arrays (wafers × variables × time) into 2D matrices for analysis. • Dynamic PCA: Incorporates time-lagged variables to capture process dynamics and autocorrelation in time-series sensor data. • Partial Least Squares (PLS): When the goal is prediction rather than compression, PLS finds latent variables that maximize covariance with the response variable. • Independent Component Analysis (ICA): Finds statistically independent components rather than uncorrelated components, useful for separating mixed fault signatures. • Real-Time Implementation: Industrial PCA systems process thousands of variables per wafer in milliseconds, requiring efficient algorithms and hardware acceleration. • Integration with Machine Learning: Modern fault detection systems combine PCA-based monitoring with neural networks and ensemble methods for improved classification accuracy.
Dedicated test wafers or sites for monitoring process.
Maximum temperature during reflow.
Thin membrane protecting reticle from particles.
Frame holding pellicle over mask.
Balls around edges only.
Final attachment after processing.
Use phase to improve resolution and depth of focus.
Identify vibrational modes from Raman.
# Semiconductor Manufacturing Process: Lithography Mathematical Modeling ## 1. Introduction Lithography is the critical patterning step in semiconductor manufacturing that transfers circuit designs onto silicon wafers. It is essentially the "printing press" of chip making and determines the minimum feature sizes achievable. ### 1.1 Basic Process Flow 1. Coat wafer with photoresist 2. Expose photoresist to light through a mask/reticle 3. Develop the photoresist (remove exposed or unexposed regions) 4. Etch or deposit through the patterned resist 5. Strip the remaining resist ### 1.2 Types of Lithography - **Optical lithography:** DUV at 193nm, EUV at 13.5nm - **Electron beam lithography:** Direct-write, maskless - **Nanoimprint lithography:** Mechanical pattern transfer - **X-ray lithography:** Short wavelength exposure ## 2. Optical Image Formation The foundation of lithography modeling is **partially coherent imaging theory**, formalized through the Hopkins integral. ### 2.1 Hopkins Integral The intensity distribution at the image plane is given by: $$ I(x,y) = \iiint\!\!\!\int TCC(f_1,g_1;f_2,g_2) \cdot \tilde{M}(f_1,g_1) \cdot \tilde{M}^*(f_2,g_2) \cdot e^{2\pi i[(f_1-f_2)x + (g_1-g_2)y]} \, df_1\,dg_1\,df_2\,dg_2 $$ Where: - $I(x,y)$ — Intensity at image plane coordinates $(x,y)$ - $\tilde{M}(f,g)$ — Fourier transform of the mask transmission function - $TCC$ — Transmission Cross Coefficient ### 2.2 Transmission Cross Coefficient (TCC) The TCC encodes both the illumination source and lens pupil: $$ TCC(f_1,g_1;f_2,g_2) = \iint S(f,g) \cdot P(f+f_1,g+g_1) \cdot P^*(f+f_2,g+g_2) \, df\,dg $$ Where: - $S(f,g)$ — Source intensity distribution - $P(f,g)$ — Pupil function (encodes aberrations, NA cutoff) - $P^*$ — Complex conjugate of the pupil function ### 2.3 Sum of Coherent Systems (SOCS) To accelerate computation, the TCC is decomposed using eigendecomposition: $$ TCC(f_1,g_1;f_2,g_2) = \sum_{k=1}^{N} \lambda_k \cdot \phi_k(f_1,g_1) \cdot \phi_k^*(f_2,g_2) $$ The image becomes a weighted sum of coherent images: $$ I(x,y) = \sum_{k=1}^{N} \lambda_k \left| \mathcal{F}^{-1}\{\phi_k \cdot \tilde{M}\} \right|^2 $$ ### 2.4 Coherence Factor The partial coherence factor $\sigma$ is defined as: $$ \sigma = \frac{NA_{source}}{NA_{lens}} $$ - $\sigma = 0$ — Fully coherent illumination - $\sigma = 1$ — Matched illumination - $\sigma > 1$ — Overfilled illumination ## 3. Resolution Limits and Scaling Laws ### 3.1 Rayleigh Criterion The minimum resolvable feature size: $$ R = k_1 \frac{\lambda}{NA} $$ Where: - $R$ — Minimum resolvable feature - $k_1$ — Process factor (theoretical limit $\approx 0.25$, practical $\approx 0.3\text{--}0.4$) - $\lambda$ — Wavelength of light - $NA$ — Numerical aperture $= n \sin\theta$ ### 3.2 Depth of Focus $$ DOF = k_2 \frac{\lambda}{NA^2} $$ Where: - $DOF$ — Depth of focus - $k_2$ — Process-dependent constant ### 3.3 Technology Comparison | Technology | $\lambda$ (nm) | NA | Min. Feature | DOF | |:-----------|:---------------|:-----|:-------------|:----| | DUV ArF | 193 | 1.35 | ~38 nm | ~100 nm | | EUV | 13.5 | 0.33 | ~13 nm | ~120 nm | | High-NA EUV | 13.5 | 0.55 | ~8 nm | ~45 nm | ### 3.4 Resolution Enhancement Techniques (RETs) Key techniques to reduce effective $k_1$: - **Off-Axis Illumination (OAI):** Dipole, quadrupole, annular - **Phase-Shift Masks (PSM):** Alternating, attenuated - **Optical Proximity Correction (OPC):** Bias, serifs, sub-resolution assist features (SRAFs) - **Multiple Patterning:** LELE, SADP, SAQP ## 4. Rigorous Electromagnetic Mask Modeling ### 4.1 Thin Mask Approximation (Kirchhoff) For features much larger than wavelength: $$ E_{mask}(x,y) = t(x,y) \cdot E_{incident} $$ Where $t(x,y)$ is the complex transmission function. ### 4.2 Maxwell's Equations For sub-wavelength features, we must solve Maxwell's equations rigorously: $$ \nabla \times \mathbf{E} = -\frac{\partial \mathbf{B}}{\partial t} $$ $$ \nabla \times \mathbf{H} = \mathbf{J} + \frac{\partial \mathbf{D}}{\partial t} $$ ### 4.3 RCWA (Rigorous Coupled-Wave Analysis) For periodic structures with grating period $d$, fields are expanded in Floquet modes: $$ E(x,z) = \sum_{n=-N}^{N} A_n(z) \cdot e^{i k_{xn} x} $$ Where the wavevector components are: $$ k_{xn} = k_0 \sin\theta_0 + \frac{2\pi n}{d} $$ This yields a matrix eigenvalue problem: $$ \frac{d^2}{dz^2}\mathbf{A} = \mathbf{K}^2 \mathbf{A} $$ Where $\mathbf{K}$ couples different diffraction orders through the dielectric tensor. ### 4.4 FDTD (Finite-Difference Time-Domain) Discretizing Maxwell's equations on a Yee grid: $$ \frac{\partial H_y}{\partial t} = \frac{1}{\mu}\left(\frac{\partial E_x}{\partial z} - \frac{\partial E_z}{\partial x}\right) $$ $$ \frac{\partial E_x}{\partial t} = \frac{1}{\epsilon}\left(\frac{\partial H_y}{\partial z} - J_x\right) $$ ### 4.5 EUV Mask 3D Effects Shadowing from absorber thickness $h$ at angle $\theta$: $$ \Delta x = h \tan\theta $$ For EUV at 6° chief ray angle: $$ \Delta x \approx 0.105 \cdot h $$ ## 5. Photoresist Modeling ### 5.1 Dill ABC Model (Exposure) The photoactive compound (PAC) concentration evolves as: $$ \frac{\partial M(z,t)}{\partial t} = -I(z,t) \cdot M(z,t) \cdot C $$ Light absorption follows Beer-Lambert law: $$ \frac{dI}{dz} = -\alpha(M) \cdot I $$ $$ \alpha(M) = A \cdot M + B $$ Where: - $A$ — Bleachable absorption coefficient - $B$ — Non-bleachable absorption coefficient - $C$ — Exposure rate constant (quantum efficiency) - $M$ — Normalized PAC concentration ### 5.2 Post-Exposure Bake (PEB) — Reaction-Diffusion For chemically amplified resists (CARs): $$ \frac{\partial h}{\partial t} = D \nabla^2 h + k \cdot h \cdot M_{blocking} $$ Where: - $h$ — Acid concentration - $D$ — Diffusion coefficient - $k$ — Reaction rate constant - $M_{blocking}$ — Blocking group concentration The blocking group deprotection: $$ \frac{\partial M_{blocking}}{\partial t} = -k_{amp} \cdot h \cdot M_{blocking} $$ ### 5.3 Mack Development Rate Model $$ r(m) = r_{max} \cdot \frac{(a+1)(1-m)^n}{a + (1-m)^n} + r_{min} $$ Where: - $r$ — Development rate - $m$ — Normalized PAC concentration remaining - $n$ — Contrast (dissolution selectivity) - $a$ — Inhibition depth - $r_{max}$ — Maximum development rate (fully exposed) - $r_{min}$ — Minimum development rate (unexposed) ### 5.4 Enhanced Mack Model Including surface inhibition: $$ r(m,z) = r_{max} \cdot \frac{(a+1)(1-m)^n}{a + (1-m)^n} \cdot \left(1 - e^{-z/l}\right) + r_{min} $$ Where $l$ is the surface inhibition depth. ## 6. Optical Proximity Correction (OPC) ### 6.1 Forward Problem Given mask $M$, compute the printed wafer image: $$ I = F(M) $$ Where $F$ represents the complete optical and resist model. ### 6.2 Inverse Problem Given target pattern $T$, find mask $M$ such that: $$ F(M) \approx T $$ ### 6.3 Edge Placement Error (EPE) $$ EPE_i = x_{printed,i} - x_{target,i} $$ ### 6.4 OPC Optimization Formulation Minimize the cost function: $$ \mathcal{L}(M) = \sum_{i=1}^{N} w_i \cdot EPE_i^2 + \lambda \cdot R(M) $$ Where: - $w_i$ — Weight for evaluation point $i$ - $R(M)$ — Regularization term for mask manufacturability - $\lambda$ — Regularization strength ### 6.5 Gradient-Based OPC Using gradient descent: $$ M_{n+1} = M_n - \eta \frac{\partial \mathcal{L}}{\partial M} $$ The gradient requires computing: $$ \frac{\partial \mathcal{L}}{\partial M} = \sum_i 2 w_i \cdot EPE_i \cdot \frac{\partial EPE_i}{\partial M} + \lambda \frac{\partial R}{\partial M} $$ ### 6.6 Adjoint Method for Gradient Computation The sensitivity $\frac{\partial I}{\partial M}$ is computed efficiently using the adjoint formulation: $$ \frac{\partial \mathcal{L}}{\partial M} = \text{Re}\left\{ \tilde{M}^* \cdot \mathcal{F}\left\{ \sum_k \lambda_k \phi_k^* \cdot \mathcal{F}^{-1}\left\{ \phi_k \cdot \frac{\partial \mathcal{L}}{\partial I} \right\} \right\} \right\} $$ This avoids computing individual sensitivities for each mask pixel. ### 6.7 Mask Manufacturability Constraints Common regularization terms: - **Minimum feature size:** $R_1(M) = \sum \max(0, w_{min} - w_i)^2$ - **Minimum space:** $R_2(M) = \sum \max(0, s_{min} - s_i)^2$ - **Edge curvature:** $R_3(M) = \int |\kappa(s)|^2 ds$ - **Shot count:** $R_4(M) = N_{vertices}$ ## 7. Source-Mask Optimization (SMO) ### 7.1 Joint Optimization Formulation $$ \min_{S,M} \sum_{\text{patterns}} \|I(S,M) - T\|^2 + \lambda_S R_S(S) + \lambda_M R_M(M) $$ Where: - $S$ — Source intensity distribution - $M$ — Mask transmission function - $T$ — Target pattern - $R_S(S)$ — Source manufacturability regularization - $R_M(M)$ — Mask manufacturability regularization ### 7.2 Source Parameterization Pixelated source with constraints: $$ S(f,g) = \sum_{i,j} s_{ij} \cdot \text{rect}\left(\frac{f - f_i}{\Delta f}\right) \cdot \text{rect}\left(\frac{g - g_j}{\Delta g}\right) $$ Subject to: $$ 0 \leq s_{ij} \leq 1 \quad \forall i,j $$ $$ \sum_{i,j} s_{ij} = S_{total} $$ ### 7.3 Alternating Optimization **Algorithm:** 1. Initialize $S_0$, $M_0$ 2. For iteration $n = 1, 2, \ldots$: - Fix $S_n$, optimize $M_{n+1} = \arg\min_M \mathcal{L}(S_n, M)$ - Fix $M_{n+1}$, optimize $S_{n+1} = \arg\min_S \mathcal{L}(S, M_{n+1})$ 3. Repeat until convergence ### 7.4 Gradient Computation for SMO Source gradient: $$ \frac{\partial I}{\partial S}(x,y) = \left| \mathcal{F}^{-1}\{P \cdot \tilde{M}\}(x,y) \right|^2 $$ Mask gradient uses the adjoint method as in OPC. ## 8. Stochastic Effects and EUV ### 8.1 Photon Shot Noise Photon counts follow a Poisson distribution: $$ P(n) = \frac{\bar{n}^n e^{-\bar{n}}}{n!} $$ For EUV at 13.5 nm, photon energy is: $$ E_{photon} = \frac{hc}{\lambda} = \frac{1240 \text{ eV} \cdot \text{nm}}{13.5 \text{ nm}} \approx 92 \text{ eV} $$ Mean photons per pixel: $$ \bar{n} = \frac{\text{Dose} \cdot A_{pixel}}{E_{photon}} $$ ### 8.2 Relative Shot Noise $$ \frac{\sigma_n}{\bar{n}} = \frac{1}{\sqrt{\bar{n}}} $$ For 30 mJ/cm² dose and 10 nm pixel: $$ \bar{n} \approx 200 \text{ photons} \implies \sigma/\bar{n} \approx 7\% $$ ### 8.3 Line Edge Roughness (LER) Characterized by power spectral density: $$ PSD(f) = \frac{LER^2 \cdot \xi}{1 + (2\pi f \xi)^{2(1+H)}} $$ Where: - $LER$ — RMS line edge roughness (3σ value) - $\xi$ — Correlation length - $H$ — Hurst exponent (0 < H < 1) - $f$ — Spatial frequency ### 8.4 LER Decomposition $$ LER^2 = LWR^2/2 + \sigma_{placement}^2 $$ Where: - $LWR$ — Line width roughness - $\sigma_{placement}$ — Line placement error ### 8.5 Stochastic Defectivity Probability of printing failure (e.g., missing contact): $$ P_{fail} = 1 - \prod_{i} \left(1 - P_{fail,i}\right) $$ For a chip with $10^{10}$ contacts at 99.9999999% yield per contact: $$ P_{chip,fail} \approx 1\% $$ ### 8.6 Monte Carlo Simulation Steps 1. **Photon absorption:** Generate random events $\sim \text{Poisson}(\bar{n})$ 2. **Acid generation:** Each photon generates acid at random location 3. **Diffusion:** Brownian motion during PEB: $\langle r^2 \rangle = 6Dt$ 4. **Deprotection:** Local reaction based on acid concentration 5. **Development:** Cellular automata or level-set method ## 9. Multiple Patterning Mathematics ### 9.1 Graph Coloring Formulation When pitch $< \lambda/(2NA)$, single-exposure patterning fails. **Graph construction:** - Nodes $V$ = features (polygons) - Edges $E$ = spacing conflicts (features too close for one mask) - Colors $C$ = different masks ### 9.2 k-Colorability Problem Find assignment $c: V \rightarrow \{1, 2, \ldots, k\}$ such that: $$ c(u) \neq c(v) \quad \forall (u,v) \in E $$ This is **NP-complete** for $k \geq 3$. ### 9.3 Integer Linear Programming (ILP) Formulation Binary variables: $x_{v,c} \in \{0,1\}$ (node $v$ assigned color $c$) **Objective:** $$ \min \sum_{(u,v) \in E} \sum_c x_{u,c} \cdot x_{v,c} \cdot w_{uv} $$ **Constraints:** $$ \sum_{c=1}^{k} x_{v,c} = 1 \quad \forall v \in V $$ $$ x_{u,c} + x_{v,c} \leq 1 \quad \forall (u,v) \in E, \forall c $$ ### 9.4 Self-Aligned Multiple Patterning (SADP) Spacer pitch after $n$ iterations: $$ p_n = \frac{p_0}{2^n} $$ Where $p_0$ is the initial (lithographic) pitch. ## 10. Process Control Mathematics ### 10.1 Overlay Control Polynomial model across the wafer: $$ OVL_x(x,y) = a_0 + a_1 x + a_2 y + a_3 xy + a_4 x^2 + a_5 y^2 + \ldots $$ **Physical interpretation:** | Coefficient | Physical Effect | |:------------|:----------------| | $a_0$ | Translation | | $a_1$, $a_2$ | Scale (magnification) | | $a_3$ | Rotation | | $a_4$, $a_5$ | Non-orthogonality | ### 10.2 Overlay Correction Least squares fitting: $$ \mathbf{a} = (\mathbf{X}^T \mathbf{X})^{-1} \mathbf{X}^T \mathbf{y} $$ Where $\mathbf{X}$ is the design matrix and $\mathbf{y}$ is measured overlay. ### 10.3 Run-to-Run Control — EWMA Exponentially Weighted Moving Average: $$ \hat{y}_{n+1} = \lambda y_n + (1-\lambda)\hat{y}_n $$ Where: - $\hat{y}_{n+1}$ — Predicted output - $y_n$ — Measured output at step $n$ - $\lambda$ — Smoothing factor $(0 < \lambda < 1)$ ### 10.4 CDU Variance Decomposition $$ \sigma^2_{total} = \sigma^2_{local} + \sigma^2_{field} + \sigma^2_{wafer} + \sigma^2_{lot} $$ **Sources:** - **Local:** Shot noise, LER, resist - **Field:** Lens aberrations, mask - **Wafer:** Focus/dose uniformity - **Lot:** Tool-to-tool variation ### 10.5 Process Capability Index $$ C_{pk} = \min\left(\frac{USL - \mu}{3\sigma}, \frac{\mu - LSL}{3\sigma}\right) $$ Where: - $USL$, $LSL$ — Upper/lower specification limits - $\mu$ — Process mean - $\sigma$ — Process standard deviation ## 11. Machine Learning Integration ### 11.1 Applications Overview | Application | Method | Purpose | |:------------|:-------|:--------| | Hotspot detection | CNNs | Predict yield-limiting patterns | | OPC acceleration | Neural surrogates | Replace expensive physics sims | | Metrology | Regression models | Virtual measurements | | Defect classification | Image classifiers | Automated inspection | | Etch prediction | Physics-informed NN | Predict etch profiles | ### 11.2 Neural Network Surrogate Model A neural network approximates the forward model: $$ \hat{I}(x,y) = f_{NN}(\text{mask}, \text{source}, \text{focus}, \text{dose}; \theta) $$ Training objective: $$ \theta^* = \arg\min_\theta \sum_{i=1}^{N} \|f_{NN}(M_i; \theta) - I_i^{rigorous}\|^2 $$ ### 11.3 Hotspot Detection with CNNs Binary classification: $$ P(\text{hotspot} | \text{pattern}) = \sigma(\mathbf{W} \cdot \mathbf{features} + b) $$ Where $\sigma$ is the sigmoid function and features are extracted by convolutional layers. ### 11.4 Inverse Lithography with Deep Learning Generator network $G$ maps target to mask: $$ \hat{M} = G(T; \theta_G) $$ Training with physics-based loss: $$ \mathcal{L} = \|F(G(T)) - T\|^2 + \lambda \cdot R(G(T)) $$ ## 12. Mathematical Disciplines | Mathematical Domain | Application in Lithography | |:--------------------|:---------------------------| | **Fourier Optics** | Image formation, aberrations, frequency analysis | | **Electromagnetic Theory** | RCWA, FDTD, rigorous mask simulation | | **Partial Differential Equations** | Resist diffusion, development, reaction kinetics | | **Optimization Theory** | OPC, SMO, inverse problems, gradient descent | | **Probability & Statistics** | Shot noise, LER, SPC, process control | | **Linear Algebra** | Matrix methods, eigendecomposition, least squares | | **Graph Theory** | Multiple patterning decomposition, routing | | **Numerical Methods** | FEM, finite differences, Monte Carlo | | **Machine Learning** | Surrogate models, pattern recognition, CNNs | | **Signal Processing** | Image analysis, metrology, filtering | ## Key Equations Quick Reference ### Imaging $$ I(x,y) = \sum_{k} \lambda_k \left| \mathcal{F}^{-1}\{\phi_k \cdot \tilde{M}\} \right|^2 $$ ### Resolution $$ R = k_1 \frac{\lambda}{NA} $$ ### Depth of Focus $$ DOF = k_2 \frac{\lambda}{NA^2} $$ ### Development Rate $$ r(m) = r_{max} \cdot \frac{(a+1)(1-m)^n}{a + (1-m)^n} + r_{min} $$ ### LER Power Spectrum $$ PSD(f) = \frac{LER^2 \cdot \xi}{1 + (2\pi f \xi)^{2(1+H)}} $$ ### OPC Cost Function $$ \mathcal{L}(M) = \sum_{i} w_i \cdot EPE_i^2 + \lambda \cdot R(M) $$
Map carrier lifetime across wafer.
Spatial uniformity of optical properties.
Light emission from optical excitation.
Statistical variation in photon number.
Alternative optics for EUV lithography.
Light-sensitive polymer that changes solubility when exposed.
# Semiconductor Manufacturing Process: Physics-Based Modeling and Differential Equations A comprehensive reference for the physics and mathematics governing semiconductor fabrication processes. ## 1. Thermal Oxidation of Silicon ### 1.1 Deal-Grove Model The foundational model for silicon oxidation describes oxide thickness growth through coupled transport and reaction. **Governing Equation:** $$ x^2 + Ax = B(t + \tau) $$ **Parameter Definitions:** - $x$ — oxide thickness - $A = \frac{2D_{ox}}{k_s}$ — linear rate constant parameter (related to surface reaction) - $B = \frac{2D_{ox}C^*}{N_1}$ — parabolic rate constant (related to diffusion) - $D_{ox}$ — oxidant diffusivity through oxide - $k_s$ — surface reaction rate constant - $C^*$ — equilibrium oxidant concentration at gas-oxide interface - $N_1$ — number of oxidant molecules incorporated per unit volume of oxide - $\tau$ — time shift accounting for initial oxide ### 1.2 Underlying Diffusion Physics **Steady-state diffusion through the oxide:** $$ \frac{\partial C}{\partial t} = D_{ox}\frac{\partial^2 C}{\partial x^2} $$ **Boundary Conditions:** - **Gas-oxide interface (flux from gas phase):** $$ F_1 = h_g(C^* - C_0) $$ - **Si-SiO₂ interface (surface reaction):** $$ F_2 = k_s C_i $$ **Steady-state flux through the oxide:** $$ F = \frac{D_{ox}C^*}{1 + \frac{k_s}{h_g} + \frac{k_s x}{D_{ox}}} $$ ### 1.3 Limiting Growth Regimes | Regime | Condition | Growth Law | Physical Interpretation | |--------|-----------|------------|------------------------| | **Linear** | Thin oxide ($x \ll A$) | $x \approx \frac{B}{A}(t + \tau)$ | Reaction-limited | | **Parabolic** | Thick oxide ($x \gg A$) | $x \approx \sqrt{Bt}$ | Diffusion-limited | ## 2. Dopant Diffusion ### 2.1 Fick's Laws of Diffusion **First Law (Flux Equation):** $$ \vec{J} = -D\nabla C $$ **Second Law (Mass Conservation / Continuity):** $$ \frac{\partial C}{\partial t} = \nabla \cdot (D\nabla C) $$ **For constant diffusivity in 1D:** $$ \frac{\partial C}{\partial t} = D\frac{\partial^2 C}{\partial x^2} $$ ### 2.2 Analytical Solutions #### Constant Surface Concentration (Predeposition) Initial condition: $C(x, 0) = 0$ Boundary condition: $C(0, t) = C_s$ $$ C(x,t) = C_s \cdot \text{erfc}\left(\frac{x}{2\sqrt{Dt}}\right) $$ where the complementary error function is: $$ \text{erfc}(z) = 1 - \text{erf}(z) = 1 - \frac{2}{\sqrt{\pi}}\int_0^z e^{-u^2} du $$ #### Fixed Dose / Drive-in (Gaussian Distribution) Initial condition: Delta function at surface with dose $Q$ $$ C(x,t) = \frac{Q}{\sqrt{\pi Dt}} \exp\left(-\frac{x^2}{4Dt}\right) $$ **Key Parameters:** - $Q$ — total dose per unit area (atoms/cm²) - $\sqrt{Dt}$ — diffusion length - Peak concentration: $C_{max} = \frac{Q}{\sqrt{\pi Dt}}$ ### 2.3 Concentration-Dependent Diffusion At high doping concentrations, diffusivity becomes concentration-dependent: $$ \frac{\partial C}{\partial t} = \frac{\partial}{\partial x}\left[D(C)\frac{\partial C}{\partial x}\right] $$ **Fair-Tsai Model for Diffusivity:** $$ D = D_i + D^-\frac{n}{n_i} + D^+\frac{p}{n_i} + D^{++}\left(\frac{p}{n_i}\right)^2 $$ **Parameter Definitions:** - $D_i$ — intrinsic diffusivity (via neutral defects) - $D^-$ — diffusivity via negatively charged defects - $D^+$ — diffusivity via singly positive charged defects - $D^{++}$ — diffusivity via doubly positive charged defects - $n, p$ — electron and hole concentrations - $n_i$ — intrinsic carrier concentration ### 2.4 Point Defect Coupled Diffusion Modern TCAD uses coupled equations for dopants and point defects (vacancies $V$ and interstitials $I$): **Vacancy Continuity:** $$ \frac{\partial C_V}{\partial t} = D_V\nabla^2 C_V - k_{IV}C_V C_I + G_V - \frac{C_V - C_V^*}{\tau_V} $$ **Interstitial Continuity:** $$ \frac{\partial C_I}{\partial t} = D_I\nabla^2 C_I - k_{IV}C_V C_I + G_I - \frac{C_I - C_I^*}{\tau_I} $$ **Term Definitions:** - $D_V, D_I$ — diffusion coefficients for vacancies and interstitials - $k_{IV}$ — recombination rate constant for $V$-$I$ annihilation - $G_V, G_I$ — generation rates - $C_V^*, C_I^*$ — equilibrium concentrations - $\tau_V, \tau_I$ — lifetimes at sinks (surfaces, dislocations) **Effective Dopant Diffusivity:** $$ D_{eff} = f_I D_I \frac{C_I}{C_I^*} + f_V D_V \frac{C_V}{C_V^*} $$ where $f_I$ and $f_V$ are the interstitial and vacancy fractions for the specific dopant species. ## 3. Ion Implantation ### 3.1 Range Distribution (LSS Theory) The implanted dopant profile follows approximately a Gaussian distribution: $$ C(x) = \frac{\Phi}{\sqrt{2\pi}\Delta R_p} \exp\left[-\frac{(x - R_p)^2}{2\Delta R_p^2}\right] $$ **Parameters:** - $\Phi$ — dose (ions/cm²) - $R_p$ — projected range (mean implant depth) - $\Delta R_p$ — straggle (standard deviation of range distribution) **Higher-Order Moments (Pearson IV Distribution):** - $\gamma$ — skewness (asymmetry) - $\beta$ — kurtosis (peakedness) ### 3.2 Stopping Power (Energy Loss) The rate of energy loss as ions traverse the target: $$ \frac{dE}{dx} = -N[S_n(E) + S_e(E)] $$ **Components:** - $S_n(E)$ — nuclear stopping power (elastic collisions with target nuclei) - $S_e(E)$ — electronic stopping power (inelastic interactions with electrons) - $N$ — atomic density of target material (atoms/cm³) **LSS Electronic Stopping (Low Energy):** $$ S_e \propto \sqrt{E} $$ **Nuclear Stopping:** Uses screened Coulomb potentials with Thomas-Fermi or ZBL (Ziegler-Biersack-Littmark) universal screening functions. ### 3.3 Boltzmann Transport Equation For rigorous treatment (typically solved via Monte Carlo methods): $$ \frac{\partial f}{\partial t} + \vec{v} \cdot \nabla_r f + \frac{\vec{F}}{m} \cdot \nabla_v f = \left(\frac{\partial f}{\partial t}\right)_{coll} $$ **Variables:** - $f(\vec{r}, \vec{v}, t)$ — particle distribution function - $\vec{F}$ — external force - Right-hand side — collision integral ### 3.4 Damage Accumulation **Kinchin-Pease Model:** $$ N_d = \frac{E_{damage}}{2E_d} $$ **Parameters:** - $N_d$ — number of displaced atoms - $E_{damage}$ — energy available for displacement - $E_d$ — displacement threshold energy ($\approx 15$ eV for silicon) ## 4. Chemical Vapor Deposition (CVD) ### 4.1 Coupled Transport Equations **Species Transport (Convection-Diffusion-Reaction):** $$ \frac{\partial C_i}{\partial t} + \vec{u} \cdot \nabla C_i = D_i\nabla^2 C_i + R_i $$ **Navier-Stokes Equations (Momentum):** $$ \rho\left(\frac{\partial \vec{u}}{\partial t} + \vec{u} \cdot \nabla\vec{u}\right) = -\nabla p + \mu\nabla^2\vec{u} + \rho\vec{g} $$ **Continuity Equation (Incompressible Flow):** $$ \nabla \cdot \vec{u} = 0 $$ **Energy Equation:** $$ \rho c_p\left(\frac{\partial T}{\partial t} + \vec{u} \cdot \nabla T\right) = k\nabla^2 T + Q_{reaction} $$ **Variable Definitions:** - $C_i$ — concentration of species $i$ - $\vec{u}$ — velocity vector - $D_i$ — diffusion coefficient of species $i$ - $R_i$ — net reaction rate for species $i$ - $\rho$ — density - $p$ — pressure - $\mu$ — dynamic viscosity - $c_p$ — specific heat at constant pressure - $k$ — thermal conductivity - $Q_{reaction}$ — heat of reaction ### 4.2 Surface Reaction Kinetics **Flux Balance at Wafer Surface:** $$ h_m(C_b - C_s) = k_s C_s $$ **Deposition Rate:** $$ G = \frac{k_s h_m C_b}{k_s + h_m} $$ **Parameters:** - $h_m$ — mass transfer coefficient - $k_s$ — surface reaction rate constant - $C_b$ — bulk gas concentration - $C_s$ — surface concentration **Limiting Cases:** | Regime | Condition | Rate Expression | Control Mechanism | |--------|-----------|-----------------|-------------------| | **Reaction-limited** | $k_s \ll h_m$ | $G \approx k_s C_b$ | Surface chemistry | | **Transport-limited** | $k_s \gg h_m$ | $G \approx h_m C_b$ | Mass transfer | ### 4.3 Step Coverage — Knudsen Diffusion In high-aspect-ratio features, molecular (Knudsen) flow dominates: $$ D_K = \frac{d}{3}\sqrt{\frac{8k_B T}{\pi m}} $$ **Parameters:** - $d$ — characteristic feature dimension - $k_B$ — Boltzmann constant - $T$ — temperature - $m$ — molecular mass **Thiele Modulus (Reaction-Diffusion Balance):** $$ \phi = L\sqrt{\frac{k_s}{D_K}} $$ **Interpretation:** - $\phi \ll 1$ — Reaction-limited → Conformal deposition - $\phi \gg 1$ — Diffusion-limited → Poor step coverage ## 5. Atomic Layer Deposition (ALD) ### 5.1 Surface Site Model **Precursor A Adsorption Kinetics:** $$ \frac{d\theta_A}{dt} = s_0 \frac{P_A}{\sqrt{2\pi m_A k_B T}}(1 - \theta_A) - k_{des}\theta_A $$ **Parameters:** - $\theta_A$ — fractional surface coverage of precursor A - $s_0$ — sticking coefficient - $P_A$ — partial pressure of precursor A - $m_A$ — molecular mass of precursor A - $k_{des}$ — desorption rate constant ### 5.2 Growth Per Cycle (GPC) $$ GPC = n_{sites} \cdot \Omega \cdot \theta_A^{sat} $$ **Parameters:** - $n_{sites}$ — surface site density (sites/cm²) - $\Omega$ — atomic volume (volume per deposited atom) - $\theta_A^{sat}$ — saturation coverage achieved during half-cycle ## 6. Plasma Etching ### 6.1 Plasma Fluid Equations **Electron Continuity:** $$ \frac{\partial n_e}{\partial t} + \nabla \cdot \vec{\Gamma}_e = S_{ionization} - S_{recomb} $$ **Ion Continuity:** $$ \frac{\partial n_i}{\partial t} + \nabla \cdot \vec{\Gamma}_i = S_{ionization} - S_{recomb} $$ **Drift-Diffusion Flux (Electrons):** $$ \vec{\Gamma}_e = -n_e\mu_e\vec{E} - D_e\nabla n_e $$ **Drift-Diffusion Flux (Ions):** $$ \vec{\Gamma}_i = n_i\mu_i\vec{E} - D_i\nabla n_i $$ **Poisson's Equation (Self-Consistent Field):** $$ \nabla^2\phi = -\frac{e}{\varepsilon_0}(n_i - n_e) $$ **Electron Energy Balance:** $$ \frac{\partial}{\partial t}\left(\frac{3}{2}n_e k_B T_e\right) + \nabla \cdot \vec{q}_e = -e\vec{\Gamma}_e \cdot \vec{E} - \sum_j \epsilon_j R_j $$ ### 6.2 Sheath Physics **Bohm Criterion (Sheath Edge Condition):** $$ u_i \geq u_B = \sqrt{\frac{k_B T_e}{M_i}} $$ **Child-Langmuir Law (Collisionless Sheath Ion Current):** $$ J = \frac{4\varepsilon_0}{9}\sqrt{\frac{2e}{M_i}}\frac{V_0^{3/2}}{d^2} $$ **Parameters:** - $u_i$ — ion velocity at sheath edge - $u_B$ — Bohm velocity - $T_e$ — electron temperature - $M_i$ — ion mass - $V_0$ — sheath voltage drop - $d$ — sheath thickness ### 6.3 Surface Etch Kinetics **Ion-Enhanced Etching Rate:** $$ R_{etch} = Y_i\Gamma_i + Y_n\Gamma_n(1-\theta) + Y_{syn}\Gamma_i\theta $$ **Components:** - $Y_i\Gamma_i$ — physical sputtering contribution - $Y_n\Gamma_n(1-\theta)$ — spontaneous chemical etching - $Y_{syn}\Gamma_i\theta$ — ion-enhanced (synergistic) etching **Yield Parameters:** - $Y_i$ — physical sputtering yield - $Y_n$ — spontaneous chemical etch yield - $Y_{syn}$ — synergistic yield (ion-enhanced chemistry) - $\Gamma_i, \Gamma_n$ — ion and neutral fluxes - $\theta$ — fractional surface coverage of reactive species **Surface Coverage Dynamics:** $$ \frac{d\theta}{dt} = s\Gamma_n(1-\theta) - Y_{syn}\Gamma_i\theta - k_v\theta $$ **Terms:** - $s\Gamma_n(1-\theta)$ — adsorption onto empty sites - $Y_{syn}\Gamma_i\theta$ — consumption by ion-enhanced reaction - $k_v\theta$ — thermal desorption/volatilization ## 7. Lithography ### 7.1 Aerial Image Formation **Hopkins Formulation (Partially Coherent Imaging):** $$ I(x,y) = \iint TCC(f,g;f',g') \cdot \tilde{M}(f,g) \cdot \tilde{M}^*(f',g') \, df\,dg\,df'\,dg' $$ **Parameters:** - $TCC$ — Transmission Cross Coefficient (encapsulates partial coherence) - $\tilde{M}(f,g)$ — Fourier transform of mask transmission function - $f, g$ — spatial frequencies **Rayleigh Resolution Criterion:** $$ Resolution = k_1 \frac{\lambda}{NA} $$ **Depth of Focus:** $$ DOF = k_2 \frac{\lambda}{NA^2} $$ **Parameters:** - $k_1, k_2$ — process-dependent factors - $\lambda$ — exposure wavelength - $NA$ — numerical aperture ### 7.2 Photoresist Exposure — Dill Model **Intensity Attenuation with Photobleaching:** $$ \frac{\partial I}{\partial z} = -\alpha(M)I $$ where the absorption coefficient depends on PAC concentration: $$ \alpha = AM + B $$ **Photoactive Compound (PAC) Decomposition:** $$ \frac{\partial M}{\partial t} = -CIM $$ **Dill Parameters:** | Parameter | Description | Units | |-----------|-------------|-------| | $A$ | Bleachable absorption coefficient | μm⁻¹ | | $B$ | Non-bleachable absorption coefficient | μm⁻¹ | | $C$ | Exposure rate constant | cm²/mJ | | $M$ | Relative PAC concentration | dimensionless (0-1) | ### 7.3 Chemically Amplified Resists **Photoacid Generation:** $$ \frac{\partial [H^+]}{\partial t} = C \cdot I \cdot [PAG] $$ **Post-Exposure Bake — Acid Diffusion and Reaction:** $$ \frac{\partial [H^+]}{\partial t} = D_{acid}\nabla^2[H^+] - k_{loss}[H^+] $$ **Deprotection Reaction (Catalytic Amplification):** $$ \frac{\partial [Protected]}{\partial t} = -k_{cat}[H^+][Protected] $$ **Parameters:** - $[PAG]$ — photoacid generator concentration - $D_{acid}$ — acid diffusion coefficient - $k_{loss}$ — acid loss rate (neutralization, evaporation) - $k_{cat}$ — catalytic deprotection rate constant ### 7.4 Development Rate — Mack Model $$ R = R_{max}\frac{(a+1)(1-M)^n}{a + (1-M)^n} + R_{min} $$ **Parameters:** - $R_{max}$ — maximum development rate (fully exposed) - $R_{min}$ — minimum development rate (unexposed) - $a$ — selectivity parameter - $n$ — contrast parameter - $M$ — normalized PAC concentration after exposure ## 8. Epitaxy ### 8.1 Burton-Cabrera-Frank (BCF) Theory **Adatom Diffusion on Terraces:** $$ \frac{\partial n}{\partial t} = D_s\nabla^2 n + F - \frac{n}{\tau} $$ **Parameters:** - $n$ — adatom density on terrace - $D_s$ — surface diffusion coefficient - $F$ — deposition flux (atoms/cm²·s) - $\tau$ — adatom lifetime before desorption **Step Velocity:** $$ v_{step} = \Omega D_s\left[\left(\frac{\partial n}{\partial x}\right)_+ - \left(\frac{\partial n}{\partial x}\right)_-\right] $$ **Steady-State Solution for Step Flow:** $$ v_{step} = \frac{2D_s \lambda_s F}{l} \cdot \tanh\left(\frac{l}{2\lambda_s}\right) $$ **Parameters:** - $\Omega$ — atomic volume - $\lambda_s = \sqrt{D_s \tau}$ — surface diffusion length - $l$ — terrace width ### 8.2 Rate Equations for Island Nucleation **Monomer (Single Adatom) Density:** $$ \frac{dn_1}{dt} = F - 2\sigma_1 D_s n_1^2 - \sum_{j>1}\sigma_j D_s n_1 n_j - \frac{n_1}{\tau} $$ **Cluster of Size $j$:** $$ \frac{dn_j}{dt} = \sigma_{j-1}D_s n_1 n_{j-1} - \sigma_j D_s n_1 n_j $$ **Parameters:** - $n_j$ — density of clusters containing $j$ atoms - $\sigma_j$ — capture cross-section for clusters of size $j$ ## 9. Chemical Mechanical Polishing (CMP) ### 9.1 Preston Equation $$ MRR = K_p \cdot P \cdot V $$ **Parameters:** - $MRR$ — material removal rate (nm/min) - $K_p$ — Preston coefficient (material/process dependent) - $P$ — applied pressure - $V$ — relative velocity between pad and wafer ### 9.2 Contact Mechanics — Greenwood-Williamson Model **Real Contact Area:** $$ A_r = \pi \eta A_n R_p \int_d^\infty (z-d)\phi(z)dz $$ **Parameters:** - $\eta$ — asperity density - $A_n$ — nominal contact area - $R_p$ — asperity radius - $d$ — separation distance - $\phi(z)$ — asperity height distribution ### 9.3 Slurry Hydrodynamics — Reynolds Equation $$ \frac{\partial}{\partial x}\left(h^3\frac{\partial p}{\partial x}\right) + \frac{\partial}{\partial y}\left(h^3\frac{\partial p}{\partial y}\right) = 6\mu U\frac{\partial h}{\partial x} $$ **Parameters:** - $h$ — film thickness - $p$ — pressure - $\mu$ — dynamic viscosity - $U$ — sliding velocity ## 10. Thin Film Stress ### 10.1 Stoney Equation **Film Stress from Wafer Curvature:** $$ \sigma_f = \frac{E_s h_s^2}{6(1-\nu_s)h_f R} $$ **Parameters:** - $\sigma_f$ — film stress - $E_s$ — substrate Young's modulus - $\nu_s$ — substrate Poisson's ratio - $h_s$ — substrate thickness - $h_f$ — film thickness - $R$ — radius of curvature ### 10.2 Thermal Stress $$ \sigma_{th} = \frac{E_f}{1-\nu_f}(\alpha_s - \alpha_f)\Delta T $$ **Parameters:** - $E_f$ — film Young's modulus - $\nu_f$ — film Poisson's ratio - $\alpha_s, \alpha_f$ — thermal expansion coefficients (substrate, film) - $\Delta T$ — temperature change from deposition ## 11. Electromigration (Reliability) ### 11.1 Black's Equation (Empirical MTTF) $$ MTTF = A \cdot j^{-n} \cdot \exp\left(\frac{E_a}{k_B T}\right) $$ **Parameters:** - $MTTF$ — mean time to failure - $j$ — current density - $n$ — current density exponent (typically 1-2) - $E_a$ — activation energy - $A$ — material/geometry constant ### 11.2 Drift-Diffusion Model $$ \frac{\partial C}{\partial t} = \nabla \cdot \left[D\left(\nabla C - C\frac{Z^*e\rho \vec{j}}{k_B T}\right)\right] $$ **Parameters:** - $C$ — atomic concentration - $D$ — diffusion coefficient - $Z^*$ — effective charge number (wind force parameter) - $\rho$ — electrical resistivity - $\vec{j}$ — current density vector ### 11.3 Stress Evolution — Korhonen Model $$ \frac{\partial \sigma}{\partial t} = \frac{\partial}{\partial x}\left[\frac{D_a B\Omega}{k_B T}\left(\frac{\partial\sigma}{\partial x} + \frac{Z^*e\rho j}{\Omega}\right)\right] $$ **Parameters:** - $\sigma$ — hydrostatic stress - $D_a$ — atomic diffusivity - $B$ — effective bulk modulus - $\Omega$ — atomic volume ## 12. Numerical Solution Methods ### 12.1 Common Numerical Techniques | Method | Application | Strengths | |--------|-------------|-----------| | **Finite Difference (FDM)** | Regular grids, 1D/2D problems | Simple implementation, efficient | | **Finite Element (FEM)** | Complex geometries, stress analysis | Flexible meshing, boundary conditions | | **Monte Carlo** | Ion implantation, plasma kinetics | Statistical accuracy, handles randomness | | **Level Set** | Topography evolution (etch/deposition) | Handles topology changes | | **Kinetic Monte Carlo (KMC)** | Atomic-scale diffusion, nucleation | Captures rare events, atomic detail | ### 12.2 Discretization Examples **Explicit Forward Euler (1D Diffusion):** $$ C_i^{n+1} = C_i^n + \frac{D\Delta t}{(\Delta x)^2}\left(C_{i+1}^n - 2C_i^n + C_{i-1}^n\right) $$ **Stability Criterion:** $$ \frac{D\Delta t}{(\Delta x)^2} \leq \frac{1}{2} $$ **Implicit Backward Euler:** $$ C_i^{n+1} - \frac{D\Delta t}{(\Delta x)^2}\left(C_{i+1}^{n+1} - 2C_i^{n+1} + C_{i-1}^{n+1}\right) = C_i^n $$ ### 12.3 Major TCAD Software Tools - **Synopsys Sentaurus** — comprehensive process and device simulation - **Silvaco ATHENA/ATLAS** — process and device modeling - **COMSOL Multiphysics** — general multiphysics platform - **SRIM/TRIM** — ion implantation Monte Carlo - **PROLITH** — lithography simulation ## Processes and Governing Equations | Process | Primary Physics | Key Equation | |---------|-----------------|--------------| | **Oxidation** | Diffusion + Reaction | $x^2 + Ax = Bt$ | | **Diffusion** | Mass Transport | $\frac{\partial C}{\partial t} = D\nabla^2 C$ | | **Implantation** | Ballistic + Stopping | $\frac{dE}{dx} = -N(S_n + S_e)$ | | **CVD** | Transport + Kinetics | Navier-Stokes + Species | | **ALD** | Self-limiting Adsorption | Langmuir kinetics | | **Plasma Etch** | Plasma + Surface | Poisson + Drift-Diffusion | | **Lithography** | Wave Optics + Chemistry | Dill ABC model | | **Epitaxy** | Surface Diffusion | BCF theory | | **CMP** | Tribology + Chemistry | Preston equation | | **Stress** | Elasticity | Stoney equation | | **Electromigration** | Mass transport under current | Korhonen model |
Precision of die placement.
Characterize ferroelectric materials.
Pins arranged in grid.
Reduce interconnect spacing.
Distance between repeating features (line + space).
# Mathematical Modeling of Plasma Etching in Semiconductor Manufacturing ## Introduction Plasma etching is a critical process in semiconductor manufacturing where reactive gases are ionized to create a plasma, which selectively removes material from a wafer surface. The mathematical modeling of this process spans multiple physics domains: - **Electromagnetic theory** — RF power coupling and field distributions - **Statistical mechanics** — Particle distributions and kinetic theory - **Reaction kinetics** — Gas-phase and surface chemistry - **Transport phenomena** — Species diffusion and convection - **Surface science** — Etch mechanisms and selectivity ## Foundational Plasma Physics ### Boltzmann Transport Equation The most fundamental description of plasma behavior is the **Boltzmann transport equation**, governing the evolution of the particle velocity distribution function $f(\mathbf{r}, \mathbf{v}, t)$: $$ \frac{\partial f}{\partial t} + \mathbf{v} \cdot \nabla f + \frac{\mathbf{F}}{m} \cdot \nabla_v f = \left(\frac{\partial f}{\partial t}\right)_{\text{collision}} $$ **Where:** - $f(\mathbf{r}, \mathbf{v}, t)$ — Velocity distribution function - $\mathbf{v}$ — Particle velocity - $\mathbf{F}$ — External force (electromagnetic) - $m$ — Particle mass - RHS — Collision integral ### Fluid Moment Equations For computational tractability, velocity moments of the Boltzmann equation yield fluid equations: #### Continuity Equation (Mass Conservation) $$ \frac{\partial n}{\partial t} + \nabla \cdot (n\mathbf{u}) = S - L $$ **Where:** - $n$ — Species number density $[\text{m}^{-3}]$ - $\mathbf{u}$ — Drift velocity $[\text{m/s}]$ - $S$ — Source term (generation rate) - $L$ — Loss term (consumption rate) #### Momentum Conservation $$ \frac{\partial (nm\mathbf{u})}{\partial t} + \nabla \cdot (nm\mathbf{u}\mathbf{u}) + \nabla p = nq(\mathbf{E} + \mathbf{u} \times \mathbf{B}) - nm\nu_m \mathbf{u} $$ **Where:** - $p = nk_BT$ — Pressure - $q$ — Particle charge - $\mathbf{E}$, $\mathbf{B}$ — Electric and magnetic fields - $\nu_m$ — Momentum transfer collision frequency $[\text{s}^{-1}]$ #### Energy Conservation $$ \frac{\partial}{\partial t}\left(\frac{3}{2}nk_BT\right) + \nabla \cdot \mathbf{q} + p\nabla \cdot \mathbf{u} = Q_{\text{heating}} - Q_{\text{loss}} $$ **Where:** - $k_B = 1.38 \times 10^{-23}$ J/K — Boltzmann constant - $\mathbf{q}$ — Heat flux vector - $Q_{\text{heating}}$ — Power input (Joule heating, stochastic heating) - $Q_{\text{loss}}$ — Energy losses (collisions, radiation) ## Electromagnetic Field Coupling ### Maxwell's Equations For capacitively coupled plasma (CCP) and inductively coupled plasma (ICP) reactors: $$ \nabla \times \mathbf{E} = -\frac{\partial \mathbf{B}}{\partial t} $$ $$ \nabla \times \mathbf{H} = \mathbf{J} + \frac{\partial \mathbf{D}}{\partial t} $$ $$ \nabla \cdot \mathbf{D} = \rho $$ $$ \nabla \cdot \mathbf{B} = 0 $$ ### Plasma Conductivity The plasma current density couples through the complex conductivity: $$ \mathbf{J} = \sigma \mathbf{E} $$ For RF plasmas, the **complex conductivity** is: $$ \sigma = \frac{n_e e^2}{m_e(\nu_m + i\omega)} $$ **Where:** - $n_e$ — Electron density - $e = 1.6 \times 10^{-19}$ C — Elementary charge - $m_e = 9.1 \times 10^{-31}$ kg — Electron mass - $\omega$ — RF angular frequency - $\nu_m$ — Electron-neutral collision frequency ### Power Deposition Time-averaged power density deposited into the plasma: $$ P = \frac{1}{2}\text{Re}(\mathbf{J} \cdot \mathbf{E}^*) $$ **Typical values:** - CCP: $0.1 - 1$ W/cm³ - ICP: $0.5 - 5$ W/cm³ ## Plasma Sheath Physics The sheath is a thin, non-neutral region at the plasma-wafer interface that accelerates ions toward the surface, enabling anisotropic etching. ### Bohm Criterion Minimum ion velocity entering the sheath: $$ u_i \geq u_B = \sqrt{\frac{k_B T_e}{M_i}} $$ **Where:** - $u_B$ — Bohm velocity - $T_e$ — Electron temperature (typically 2–5 eV) - $M_i$ — Ion mass **Example:** For Ar⁺ ions with $T_e = 3$ eV: $$ u_B = \sqrt{\frac{3 \times 1.6 \times 10^{-19}}{40 \times 1.67 \times 10^{-27}}} \approx 2.7 \text{ km/s} $$ ### Child-Langmuir Law For a collisionless sheath, the ion current density is: $$ J = \frac{4\varepsilon_0}{9}\sqrt{\frac{2e}{M_i}} \cdot \frac{V_s^{3/2}}{d^2} $$ **Where:** - $\varepsilon_0 = 8.85 \times 10^{-12}$ F/m — Vacuum permittivity - $V_s$ — Sheath voltage drop (typically 10–500 V) - $d$ — Sheath thickness ### Sheath Thickness The sheath thickness scales as: $$ d \approx \lambda_D \left(\frac{2eV_s}{k_BT_e}\right)^{3/4} $$ **Where** the Debye length is: $$ \lambda_D = \sqrt{\frac{\varepsilon_0 k_B T_e}{n_e e^2}} $$ ### Ion Angular Distribution Ions arrive at the wafer with an angular distribution: $$ f(\theta) \propto \exp\left(-\frac{\theta^2}{2\sigma^2}\right) $$ **Where:** $$ \sigma \approx \arctan\left(\sqrt{\frac{k_B T_i}{eV_s}}\right) $$ **Typical values:** $\sigma \approx 2°–5°$ for high-bias conditions. ## Electron Energy Distribution Function ### Non-Maxwellian Distributions In low-pressure plasmas (1–100 mTorr), the EEDF deviates from Maxwellian. #### Two-Term Approximation The EEDF is expanded as: $$ f(\varepsilon, \theta) = f_0(\varepsilon) + f_1(\varepsilon)\cos\theta $$ The isotropic part $f_0$ satisfies: $$ \frac{d}{d\varepsilon}\left[\varepsilon D \frac{df_0}{d\varepsilon} + \left(V + \frac{\varepsilon\nu_{\text{inel}}}{\nu_m}\right)f_0\right] = 0 $$ #### Common Distribution Functions | Distribution | Functional Form | Applicability | |-------------|-----------------|---------------| | **Maxwellian** | $f(\varepsilon) \propto \sqrt{\varepsilon} \exp\left(-\frac{\varepsilon}{k_BT_e}\right)$ | High pressure, collisional | | **Druyvesteyn** | $f(\varepsilon) \propto \sqrt{\varepsilon} \exp\left(-\left(\frac{\varepsilon}{k_BT_e}\right)^2\right)$ | Elastic collisions dominant | | **Bi-Maxwellian** | Sum of two Maxwellians | Hot tail population | ### Generalized Form $$ f(\varepsilon) \propto \sqrt{\varepsilon} \cdot \exp\left[-\left(\frac{\varepsilon}{k_BT_e}\right)^x\right] $$ - $x = 1$ → Maxwellian - $x = 2$ → Druyvesteyn ## Plasma Chemistry and Reaction Kinetics ### Species Balance Equation For species $i$: $$ \frac{\partial n_i}{\partial t} + \nabla \cdot \mathbf{\Gamma}_i = \sum_j R_j $$ **Where:** - $\mathbf{\Gamma}_i$ — Species flux - $R_j$ — Reaction rates ### Electron-Impact Rate Coefficients Rate coefficients are calculated by integration over the EEDF: $$ k = \int_0^\infty \sigma(\varepsilon) v(\varepsilon) f(\varepsilon) \, d\varepsilon = \langle \sigma v \rangle $$ **Where:** - $\sigma(\varepsilon)$ — Energy-dependent cross-section $[\text{m}^2]$ - $v(\varepsilon) = \sqrt{2\varepsilon/m_e}$ — Electron velocity - $f(\varepsilon)$ — Normalized EEDF ### Heavy-Particle Reactions Arrhenius kinetics for neutral reactions: $$ k = A T^n \exp\left(-\frac{E_a}{k_BT}\right) $$ **Where:** - $A$ — Pre-exponential factor - $n$ — Temperature exponent - $E_a$ — Activation energy ### Example: SF₆/O₂ Plasma Chemistry #### Electron-Impact Reactions | Reaction | Type | Threshold | |----------|------|-----------| | $e + \text{SF}_6 \rightarrow \text{SF}_5 + \text{F} + e$ | Dissociation | ~10 eV | | $e + \text{SF}_6 \rightarrow \text{SF}_6^-$ | Attachment | ~0 eV | | $e + \text{SF}_6 \rightarrow \text{SF}_5^+ + \text{F} + 2e$ | Ionization | ~16 eV | | $e + \text{O}_2 \rightarrow \text{O} + \text{O} + e$ | Dissociation | ~6 eV | #### Gas-Phase Reactions - $\text{F} + \text{O} \rightarrow \text{FO}$ (reduces F atom density) - $\text{SF}_5 + \text{F} \rightarrow \text{SF}_6$ (recombination) - $\text{O} + \text{CF}_3 \rightarrow \text{COF}_2 + \text{F}$ (polymer removal) #### Surface Reactions - $\text{F} + \text{Si}(s) \rightarrow \text{SiF}_{(\text{ads})}$ - $\text{SiF}_{(\text{ads})} + 3\text{F} \rightarrow \text{SiF}_4(g)$ (volatile product) ## Transport Phenomena ### Drift-Diffusion Model For charged species, the flux is: $$ \mathbf{\Gamma} = \pm \mu n \mathbf{E} - D \nabla n $$ **Where:** - Upper sign: positive ions - Lower sign: electrons - $\mu$ — Mobility $[\text{m}^2/(\text{V}\cdot\text{s})]$ - $D$ — Diffusion coefficient $[\text{m}^2/\text{s}]$ ### Einstein Relation Connects mobility and diffusion: $$ D = \frac{\mu k_B T}{e} $$ ### Ambipolar Diffusion When quasi-neutrality holds ($n_e \approx n_i$): $$ D_a = \frac{\mu_i D_e + \mu_e D_i}{\mu_i + \mu_e} \approx D_i\left(1 + \frac{T_e}{T_i}\right) $$ Since $T_e \gg T_i$ typically: $D_a \approx D_i (1 + T_e/T_i) \approx 100 D_i$ ### Neutral Transport For reactive neutrals (radicals), Fickian diffusion: $$ \frac{\partial n}{\partial t} = D\nabla^2 n + S - L $$ #### Surface Boundary Condition $$ -D\frac{\partial n}{\partial x}\bigg|_{\text{surface}} = \frac{1}{4}\gamma n v_{\text{th}} $$ **Where:** - $\gamma$ — Sticking/reaction coefficient (0 to 1) - $v_{\text{th}} = \sqrt{\frac{8k_BT}{\pi m}}$ — Thermal velocity ### Knudsen Number Determines the appropriate transport regime: $$ \text{Kn} = \frac{\lambda}{L} $$ **Where:** - $\lambda$ — Mean free path - $L$ — Characteristic length | Kn Range | Regime | Model | |----------|--------|-------| | $< 0.01$ | Continuum | Navier-Stokes | | $0.01–0.1$ | Slip flow | Modified N-S | | $0.1–10$ | Transition | DSMC/BGK | | $> 10$ | Free molecular | Ballistic | ## Surface Reaction Modeling ### Langmuir Adsorption Kinetics For surface coverage $\theta$: $$ \frac{d\theta}{dt} = k_{\text{ads}}(1-\theta)P - k_{\text{des}}\theta - k_{\text{react}}\theta $$ **At steady state:** $$ \theta = \frac{k_{\text{ads}}P}{k_{\text{ads}}P + k_{\text{des}} + k_{\text{react}}} $$ ### Ion-Enhanced Etching The total etch rate combines multiple mechanisms: $$ \text{ER} = Y_{\text{chem}} \Gamma_n + Y_{\text{phys}} \Gamma_i + Y_{\text{syn}} \Gamma_i f(\theta) $$ **Where:** - $Y_{\text{chem}}$ — Chemical etch yield (isotropic) - $Y_{\text{phys}}$ — Physical sputtering yield - $Y_{\text{syn}}$ — Ion-enhanced (synergistic) yield - $\Gamma_n$, $\Gamma_i$ — Neutral and ion fluxes - $f(\theta)$ — Coverage-dependent function ### Ion Sputtering Yield #### Energy Dependence $$ Y(E) = A\left(\sqrt{E} - \sqrt{E_{\text{th}}}\right) \quad \text{for } E > E_{\text{th}} $$ **Typical threshold energies:** - Si: $E_{\text{th}} \approx 20$ eV - SiO₂: $E_{\text{th}} \approx 30$ eV - Si₃N₄: $E_{\text{th}} \approx 25$ eV #### Angular Dependence $$ Y(\theta) = Y(0) \cos^{-f}(\theta) \exp\left[-b\left(\frac{1}{\cos\theta} - 1\right)\right] $$ **Behavior:** - Increases from normal incidence - Peaks at $\theta \approx 60°–70°$ - Decreases at grazing angles (reflection dominates) ## Feature-Scale Profile Evolution ### Level Set Method The surface is represented as the zero contour of $\phi(\mathbf{x}, t)$: $$ \frac{\partial \phi}{\partial t} + V_n |\nabla \phi| = 0 $$ **Where:** - $\phi > 0$ — Material - $\phi < 0$ — Void/vacuum - $\phi = 0$ — Surface - $V_n$ — Local normal etch velocity ### Local Etch Rate Calculation The normal velocity $V_n$ depends on: 1. **Ion flux and angular distribution** $$\Gamma_i(\mathbf{x}) = \int f(\theta, E) \, d\Omega \, dE$$ 2. **Neutral flux** (with shadowing) $$\Gamma_n(\mathbf{x}) = \Gamma_{n,0} \cdot \text{VF}(\mathbf{x})$$ where VF is the view factor 3. **Surface chemistry state** $$V_n = f(\Gamma_i, \Gamma_n, \theta_{\text{coverage}}, T)$$ ### Neutral Transport in High-Aspect-Ratio Features #### Clausing Transmission Factor For a tube of aspect ratio AR: $$ K \approx \frac{1}{1 + 0.5 \cdot \text{AR}} $$ #### View Factor Calculations For surface element $dA_1$ seeing $dA_2$: $$ F_{1 \rightarrow 2} = \frac{1}{\pi} \int \frac{\cos\theta_1 \cos\theta_2}{r^2} \, dA_2 $$ ## Monte Carlo Methods ### Test-Particle Monte Carlo Algorithm ``` 1. SAMPLE incident particle from flux distribution at feature opening - Ion: from IEDF and IADF - Neutral: from Maxwellian 2. TRACE trajectory through feature - Ion: ballistic, solve equation of motion - Neutral: random walk with wall collisions 3. DETERMINE reaction at surface impact - Sample from probability distribution - Update surface coverage if adsorption 4. UPDATE surface geometry - Remove material (etching) - Add material (deposition) 5. REPEAT for statistically significant sample ``` ### Ion Trajectory Integration Through the sheath/feature: $$ m\frac{d^2\mathbf{r}}{dt^2} = q\mathbf{E}(\mathbf{r}) $$ **Numerical integration:** Velocity-Verlet or Boris algorithm ### Collision Sampling Null-collision method for efficiency: $$ P_{\text{collision}} = 1 - \exp(-\nu_{\text{max}} \Delta t) $$ **Where** $\nu_{\text{max}}$ is the maximum possible collision frequency. ## Multi-Scale Modeling Framework ### Scale Hierarchy | Scale | Length | Time | Physics | Method | |-------|--------|------|---------|--------| | **Reactor** | cm–m | ms–s | Plasma transport, EM fields | Fluid PDE | | **Sheath** | µm–mm | µs–ms | Ion acceleration, EEDF | Kinetic/Fluid | | **Feature** | nm–µm | ns–ms | Profile evolution | Level set/MC | | **Atomic** | Å–nm | ps–ns | Reaction mechanisms | MD/DFT | ### Coupling Approaches #### Hierarchical (One-Way) ``` Atomic scale → Surface parameters ↓ Feature scale ← Fluxes from reactor scale ↓ Reactor scale → Process outputs ``` #### Concurrent (Two-Way) - Feature-scale results feed back to reactor scale - Requires iterative solution - Computationally expensive ## Numerical Methods and Challenges ### Stiff ODE Systems Plasma chemistry involves timescales spanning many orders of magnitude: | Process | Timescale | |---------|-----------| | Electron attachment | $\sim 10^{-10}$ s | | Ion-molecule reactions | $\sim 10^{-6}$ s | | Metastable decay | $\sim 10^{-3}$ s | | Surface diffusion | $\sim 10^{-1}$ s | #### Implicit Methods Required **Backward Differentiation Formula (BDF):** $$ y_{n+1} = \sum_{j=0}^{k-1} \alpha_j y_{n-j} + h\beta f(t_{n+1}, y_{n+1}) $$ ### Spatial Discretization #### Finite Volume Method Ensures mass conservation: $$ \int_V \frac{\partial n}{\partial t} dV + \oint_S \mathbf{\Gamma} \cdot d\mathbf{S} = \int_V S \, dV $$ #### Mesh Requirements - Sheath resolution: $\Delta x < \lambda_D$ - RF skin depth: $\Delta x < \delta$ - Adaptive mesh refinement (AMR) common ### EM-Plasma Coupling **Iterative scheme:** 1. Solve Maxwell's equations for $\mathbf{E}$, $\mathbf{B}$ 2. Update plasma transport (density, temperature) 3. Recalculate $\sigma$, $\varepsilon_{\text{plasma}}$ 4. Repeat until convergence ## Advanced Topics ### Atomic Layer Etching (ALE) Self-limiting reactions for atomic precision: $$ \text{EPC} = \Theta \cdot d_{\text{ML}} $$ **Where:** - EPC — Etch per cycle - $\Theta$ — Modified layer coverage fraction - $d_{\text{ML}}$ — Monolayer thickness #### ALE Cycle 1. **Modification step:** Reactive gas creates modified surface layer $$\frac{d\Theta}{dt} = k_{\text{mod}}(1-\Theta)P_{\text{gas}}$$ 2. **Removal step:** Ion bombardment removes modified layer only $$\text{ER} = Y_{\text{mod}}\Gamma_i\Theta$$ ### Pulsed Plasma Dynamics Time-modulated RF introduces: - **Active glow:** Plasma on, high ion/radical generation - **Afterglow:** Plasma off, selective chemistry #### Ion Energy Modulation By pulsing bias: $$ \langle E_i \rangle = \frac{1}{T}\left[\int_0^{t_{\text{on}}} E_{\text{high}}dt + \int_{t_{\text{on}}}^{T} E_{\text{low}}dt\right] $$ ### High-Aspect-Ratio Etching (HAR) For AR > 50 (memory, 3D NAND): **Challenges:** - Ion angular broadening → bowing - Neutral depletion at bottom - Feature charging → twisting - Mask erosion → tapering **Ion Angular Distribution Broadening:** $$ \sigma_{\text{effective}} = \sqrt{\sigma_{\text{sheath}}^2 + \sigma_{\text{scattering}}^2} $$ **Neutral Flux at Bottom:** $$ \Gamma_{\text{bottom}} \approx \Gamma_{\text{top}} \cdot K(\text{AR}) $$ ### Machine Learning Integration **Applications:** - Surrogate models for fast prediction - Process optimization (Bayesian) - Virtual metrology - Anomaly detection **Physics-Informed Neural Networks (PINNs):** $$ \mathcal{L} = \mathcal{L}_{\text{data}} + \lambda \mathcal{L}_{\text{physics}} $$ Where $\mathcal{L}_{\text{physics}}$ enforces governing equations. ## Validation and Experimental Techniques ### Plasma Diagnostics | Technique | Measurement | Typical Values | |-----------|-------------|----------------| | **Langmuir probe** | $n_e$, $T_e$, EEDF | $10^{9}–10^{12}$ cm⁻³, 1–5 eV | | **OES** | Relative species densities | Qualitative/semi-quantitative | | **APMS** | Ion mass, energy | 1–500 amu, 0–500 eV | | **LIF** | Absolute radical density | $10^{11}–10^{14}$ cm⁻³ | | **Microwave interferometry** | $n_e$ (line-averaged) | $10^{10}–10^{12}$ cm⁻³ | ### Etch Characterization - **Profilometry:** Etch depth, uniformity - **SEM/TEM:** Feature profiles, sidewall angle - **XPS:** Surface composition - **Ellipsometry:** Film thickness, optical properties ### Model Validation Workflow 1. **Plasma validation:** Match $n_e$, $T_e$, species densities 2. **Flux validation:** Compare ion/neutral fluxes to wafer 3. **Etch rate validation:** Blanket wafer etch rates 4. **Profile validation:** Patterned feature cross-sections ## Key Dimensionless Numbers Summary | Number | Definition | Physical Meaning | |--------|------------|------------------| | **Knudsen** | $\text{Kn} = \lambda/L$ | Continuum vs. kinetic | | **Damköhler** | $\text{Da} = \tau_{\text{transport}}/\tau_{\text{reaction}}$ | Transport vs. reaction limited | | **Sticking coefficient** | $\gamma = \text{reactions}/\text{collisions}$ | Surface reactivity | | **Aspect ratio** | $\text{AR} = \text{depth}/\text{width}$ | Feature geometry | | **Debye number** | $N_D = n\lambda_D^3$ | Plasma ideality | ## Physical Constants | Constant | Symbol | Value | |----------|--------|-------| | Elementary charge | $e$ | $1.602 \times 10^{-19}$ C | | Electron mass | $m_e$ | $9.109 \times 10^{-31}$ kg | | Proton mass | $m_p$ | $1.673 \times 10^{-27}$ kg | | Boltzmann constant | $k_B$ | $1.381 \times 10^{-23}$ J/K | | Vacuum permittivity | $\varepsilon_0$ | $8.854 \times 10^{-12}$ F/m | | Vacuum permeability | $\mu_0$ | $4\pi \times 10^{-7}$ H/m |
# Semiconductor Manufacturing Process: Plasma Physics Mathematical Modeling ## 1. The Physical Context Semiconductor manufacturing relies on **low-temperature, non-equilibrium plasmas** for etching and deposition. ### Key Characteristics - **Electron temperature**: $T_e \approx 1\text{–}10 \text{ eV}$ (~10,000–100,000 K) - **Ion/neutral temperature**: $T_i \approx 0.03 \text{ eV}$ (near room temperature) - **Non-equilibrium condition**: $T_e \gg T_i$ This disparity is essential—hot electrons drive chemistry while cool heavy particles preserve delicate nanoscale structures. ### Common Reactor Types - **CCP (Capacitively Coupled Plasmas)**: Used for reactive ion etching (RIE) - **ICP (Inductively Coupled Plasmas)**: High-density plasma etching - **ECR (Electron Cyclotron Resonance)**: Microwave-driven high-density sources - **Remote plasma sources**: Gentle surface treatment and cleaning ## 2. Fundamental Governing Equations ### 2.1 The Boltzmann Equation (Master Kinetic Equation) The foundation of plasma kinetic theory: $$ \frac{\partial f_s}{\partial t} + \mathbf{v} \cdot \nabla_{\mathbf{r}} f_s + \frac{q_s}{m_s}(\mathbf{E} + \mathbf{v} \times \mathbf{B}) \cdot \nabla_{\mathbf{v}} f_s = \left(\frac{\partial f_s}{\partial t}\right)_{\text{coll}} $$ Where: - $f_s(\mathbf{r}, \mathbf{v}, t)$ — Distribution function for species $s$ in 6D phase space - $q_s$ — Particle charge - $m_s$ — Particle mass - $\mathbf{E}$, $\mathbf{B}$ — Electric and magnetic fields - Right-hand side — Collision operator encoding all scattering physics ### 2.2 Fluid Approximation (Moment Equations) Taking velocity moments of the Boltzmann equation yields the fluid hierarchy: #### Continuity Equation (Zeroth Moment) $$ \frac{\partial n_s}{\partial t} + \nabla \cdot (n_s \mathbf{u}_s) = S_s $$ Where: - $n_s$ — Number density of species $s$ - $\mathbf{u}_s$ — Mean velocity - $S_s$ — Source/sink terms from chemical reactions #### Momentum Equation (First Moment) $$ m_s n_s \frac{D\mathbf{u}_s}{Dt} = q_s n_s (\mathbf{E} + \mathbf{u}_s \times \mathbf{B}) - \nabla p_s - \nabla \cdot \boldsymbol{\Pi}_s + \mathbf{R}_s $$ Where: - $p_s = n_s k_B T_s$ — Scalar pressure - $\boldsymbol{\Pi}_s$ — Viscous stress tensor - $\mathbf{R}_s$ — Momentum transfer from collisions #### Energy Equation (Second Moment) $$ \frac{\partial}{\partial t}\left(\frac{3}{2}n_s k_B T_s\right) + \nabla \cdot \mathbf{q}_s + p_s \nabla \cdot \mathbf{u}_s = Q_s $$ Where: - $\mathbf{q}_s$ — Heat flux vector - $Q_s$ — Energy source terms (heating, cooling, reactions) ### 2.3 Maxwell's Equations #### Full Electromagnetic Set $$ \nabla \cdot \mathbf{E} = \frac{\rho}{\varepsilon_0} = \frac{e}{\varepsilon_0}\sum_s Z_s n_s $$ $$ \nabla \times \mathbf{E} = -\frac{\partial \mathbf{B}}{\partial t} $$ $$ \nabla \cdot \mathbf{B} = 0 $$ $$ \nabla \times \mathbf{B} = \mu_0 \mathbf{J} + \mu_0 \varepsilon_0 \frac{\partial \mathbf{E}}{\partial t} $$ #### Electrostatic Approximation (Poisson Equation) For most processing plasmas: $$ \nabla^2 \phi = -\frac{e}{\varepsilon_0}(n_i - n_e) $$ Where $\mathbf{E} = -\nabla \phi$. ## 3. Critical Plasma Parameters ### 3.1 Debye Length The characteristic shielding scale: $$ \lambda_D = \sqrt{\frac{\varepsilon_0 k_B T_e}{n_e e^2}} $$ Numerical form: $$ \lambda_D \approx 7.43 \times 10^{3} \sqrt{\frac{T_e[\text{eV}]}{n_e[\text{m}^{-3}]}} \text{ m} $$ **Typical values**: 10–100 $\mu$m in processing plasmas. ### 3.2 Plasma Frequency The characteristic electron oscillation frequency: $$ \omega_{pe} = \sqrt{\frac{n_e e^2}{m_e \varepsilon_0}} $$ Numerical form: $$ \omega_{pe} \approx 56.4 \sqrt{n_e[\text{m}^{-3}]} \text{ rad/s} $$ ### 3.3 Collision Frequency Electron-neutral collision frequency: $$ \nu_{en} = n_g \langle \sigma_{en} v_e \rangle \approx n_g \sigma_{en} \bar{v}_e $$ Where: - $n_g$ — Neutral gas density - $\sigma_{en}$ — Collision cross-section - $\bar{v}_e = \sqrt{8 k_B T_e / \pi m_e}$ — Mean electron speed ### 3.4 Knudsen Number Determines the validity of fluid vs kinetic models: $$ \text{Kn} = \frac{\lambda_{\text{mfp}}}{L} $$ Where: - $\lambda_{\text{mfp}}$ — Mean free path - $L$ — Characteristic system length **Regimes**: - $\text{Kn} \ll 1$: Fluid models valid (collisional regime) - $\text{Kn} \gg 1$: Kinetic treatment required (collisionless regime) - $\text{Kn} \sim 1$: Transitional regime (most challenging) ## 4. Sheath Physics: The Critical Interface The **sheath** is the thin, non-neutral region where ions accelerate toward surfaces. This controls ion bombardment energy—the key parameter for anisotropic etching. ### 4.1 Bohm Criterion Ions must enter the sheath at or above the Bohm velocity: $$ u_s \geq u_B = \sqrt{\frac{k_B T_e}{m_i}} $$ This arises from requiring monotonically decreasing potential solutions. ### 4.2 Child-Langmuir Law (Collisionless Sheath) Space-charge-limited current density: $$ J = \frac{4\varepsilon_0}{9}\sqrt{\frac{2e}{m_i}}\frac{V_0^{3/2}}{s^2} $$ Where: - $J$ — Ion current density - $V_0$ — Sheath voltage - $s$ — Sheath thickness ### 4.3 Matrix Sheath Thickness For high-voltage sheaths: $$ s = \lambda_D \left(\frac{2V_0}{T_e}\right)^{1/2} $$ ### 4.4 RF Sheath Dynamics In RF plasmas, the sheath oscillates with the applied voltage, creating: - **Self-bias**: Time-averaged DC potential due to asymmetric current flow $$ V_{dc} = -V_{rf} + \frac{T_e}{e}\ln\left(\frac{m_i}{2\pi m_e}\right)^{1/2} $$ - **Ion Energy Distribution Functions (IEDF)**: Bimodal structure depending on frequency - **Stochastic heating**: Electrons gain energy from oscillating sheath boundary #### Frequency Dependence of IEDF | Condition | IEDF Shape | |-----------|------------| | $\omega \ll \omega_{pi}$ (low frequency) | Broad bimodal distribution | | $\omega \gg \omega_{pi}$ (high frequency) | Narrow peak at average energy | ## 5. Electron Energy Distribution Functions (EEDF) ### 5.1 Non-Maxwellian Distributions The EEDF is generally **not Maxwellian** in low-pressure plasmas. The two-term Boltzmann equation: $$ -\frac{d}{d\varepsilon}\left[A(\varepsilon)\frac{df}{d\varepsilon} + B(\varepsilon)f\right] = C_{\text{inel}}(f) $$ Where: - $A(\varepsilon)$, $B(\varepsilon)$ — Coefficients depending on E-field and cross-sections - $C_{\text{inel}}$ — Inelastic collision operator ### 5.2 Common Distribution Types #### Maxwellian Distribution $$ f_M(\varepsilon) = \frac{2\sqrt{\varepsilon}}{\sqrt{\pi}(k_B T_e)^{3/2}} \exp\left(-\frac{\varepsilon}{k_B T_e}\right) $$ #### Druyvesteyn Distribution (Elastic-Dominated) $$ f_D(\varepsilon) \propto \exp\left(-c\varepsilon^2\right) $$ #### Bi-Maxwellian Distribution $$ f_{bi}(\varepsilon) = \alpha f_M(\varepsilon; T_{e1}) + (1-\alpha) f_M(\varepsilon; T_{e2}) $$ ### 5.3 Rate Coefficient Calculation Reaction rates depend on the EEDF: $$ k = \langle \sigma v \rangle = \int_0^\infty \sigma(\varepsilon) v(\varepsilon) f(\varepsilon) \, d\varepsilon $$ For electron-impact reactions: $$ k_e = \sqrt{\frac{2}{m_e}} \int_0^\infty \varepsilon \, \sigma(\varepsilon) f(\varepsilon) \, d\varepsilon $$ ## 6. Plasma Chemistry Modeling ### 6.1 Species Rate Equations General form: $$ \frac{dn_i}{dt} = \sum_j k_j \prod_l n_l^{\nu_{jl}} - n_i \nu_{\text{loss}} $$ Where: - $k_j$ — Rate coefficient for reaction $j$ - $\nu_{jl}$ — Stoichiometric coefficient - $\nu_{\text{loss}}$ — Total loss frequency ### 6.2 Arrhenius Rate Coefficients For thermal reactions: $$ k(T) = A T^n \exp\left(-\frac{E_a}{k_B T}\right) $$ Where: - $A$ — Pre-exponential factor - $n$ — Temperature exponent - $E_a$ — Activation energy ### 6.3 Example: Chlorine Plasma Chemistry Simplified Cl₂ plasma reaction set: | Reaction | Type | Threshold | |----------|------|-----------| | $e + \text{Cl}_2 \rightarrow 2\text{Cl} + e$ | Dissociation | ~2.5 eV | | $e + \text{Cl}_2 \rightarrow \text{Cl}_2^+ + 2e$ | Ionization | ~11.5 eV | | $e + \text{Cl} \rightarrow \text{Cl}^+ + 2e$ | Ionization | ~13 eV | | $e + \text{Cl}^- \rightarrow \text{Cl} + 2e$ | Detachment | — | | $\text{Cl}_2^+ + e \rightarrow 2\text{Cl}$ | Dissociative recombination | — | | $\text{Cl} + \text{wall} \rightarrow \frac{1}{2}\text{Cl}_2$ | Surface recombination | — | Full models include 50+ reactions with rate constants spanning 10+ orders of magnitude. ## 7. Transport Models ### 7.1 Drift-Diffusion Approximation Standard flux expression: $$ \boldsymbol{\Gamma}_s = \text{sgn}(q_s) \mu_s n_s \mathbf{E} - D_s \nabla n_s $$ Where: - $\mu_s$ — Mobility - $D_s$ — Diffusion coefficient **Einstein Relation**: $$ \frac{D_s}{\mu_s} = \frac{k_B T_s}{|q_s|} $$ ### 7.2 Ambipolar Diffusion In quasi-neutral bulk plasma, electrons and ions diffuse together: $$ D_a = \frac{\mu_i D_e + \mu_e D_i}{\mu_e + \mu_i} $$ Since $\mu_e \gg \mu_i$: $$ D_a \approx D_i \left(1 + \frac{T_e}{T_i}\right) $$ ### 7.3 Tensor Transport (Magnetized Plasmas) In magnetic fields, transport becomes anisotropic: $$ \boldsymbol{\Gamma} = -\mathbf{D} \cdot \nabla n + n \boldsymbol{\mu} \cdot \mathbf{E} $$ The diffusion tensor has components: - **Parallel**: $D_\parallel = D_0$ - **Perpendicular**: $D_\perp = \frac{D_0}{1 + \omega_c^2 \tau^2}$ - **Hall**: $D_H = \frac{\omega_c \tau D_0}{1 + \omega_c^2 \tau^2}$ Where $\omega_c = qB/m$ is the cyclotron frequency. ## 8. Computational Approaches ### 8.1 Hierarchy of Models | Model | Dimensions | Physics Captured | Typical Runtime | |-------|------------|------------------|-----------------| | Global (0D) | Volume-averaged | Detailed chemistry | Seconds | | Fluid (1D-3D) | Spatial resolution | Transport + chemistry | Minutes–Hours | | PIC-MCC | Full phase space | Kinetic ions/electrons | Days–Weeks | | Hybrid | Mixed | Fluid electrons + kinetic ions | Hours–Days | ### 8.2 Fluid Model Implementation Solve the coupled system: 1. **Species continuity equations** (one per species) 2. **Electron energy equation** 3. **Poisson equation** 4. **Momentum equations** (often drift-diffusion limit) #### Numerical Challenges - **Nonlinear coupling**: Exponential dependence of source terms on $T_e$ - **Disparate timescales**: - Electron dynamics: ~ns - Ion dynamics: ~$\mu$s - Chemistry: ~ms - **Spatial scales**: Sheath ($\lambda_D \sim 100$ $\mu$m) vs reactor (~0.1 m) #### Common Numerical Techniques - Semi-implicit time stepping - Scharfetter-Gummel discretization for drift-diffusion fluxes - Multigrid Poisson solvers - Adaptive mesh refinement near sheaths ### 8.3 Particle-in-Cell with Monte Carlo Collisions (PIC-MCC) #### Algorithm Steps 1. **Push particles** using equations of motion: $$ \frac{d\mathbf{x}}{dt} = \mathbf{v}, \quad m\frac{d\mathbf{v}}{dt} = q(\mathbf{E} + \mathbf{v} \times \mathbf{B}) $$ 2. **Deposit charge** onto computational grid 3. **Solve Poisson** equation for electric field 4. **Interpolate field** back to particle positions 5. **Monte Carlo collisions** based on cross-sections #### Applications - Low-pressure kinetic regimes - IEDF predictions - Non-local electron kinetics - Detailed sheath physics #### Computational Cost Scales as $O(N_p \log N_p)$ per timestep, with $N_p \sim 10^6\text{–}10^8$ superparticles. ## 9. Multi-Scale Coupling: The Grand Challenge ### 9.1 Scale Hierarchy | Scale | Phenomenon | Typical Model | |-------|------------|---------------| | Å–nm | Surface reactions, damage | MD, DFT | | nm–$\mu$m | Feature evolution | Level-set, Monte Carlo | | $\mu$m–mm | Sheath, transport | Fluid/kinetic plasma | | mm–m | Reactor, gas flow | CFD + plasma | ### 9.2 Feature-Scale Modeling #### Level-Set Method Track the evolving surface $\phi = 0$: $$ \frac{\partial \phi}{\partial t} + V_n |\nabla \phi| = 0 $$ Where $V_n$ is the local etch/deposition rate depending on: - Ion flux $\Gamma_i$ and energy $\varepsilon_i$ from plasma model - Neutral radical flux $\Gamma_n$ - Surface composition and local geometry - Angle-dependent yields $Y(\theta, \varepsilon)$ #### Etch Rate Model $$ R = Y_0 \Gamma_i f(\varepsilon) + k_s \Gamma_n \theta_s $$ Where: - $Y_0$ — Base sputter yield - $f(\varepsilon)$ — Energy-dependent yield function - $k_s$ — Surface reaction rate - $\theta_s$ — Surface coverage ### 9.3 Aspect Ratio Dependent Etching (ARDE) $$ \frac{R_{\text{bottom}}}{R_{\text{top}}} = f(\text{AR}) $$ #### Physical Mechanisms - Ion angular distribution effects (Knudsen diffusion in feature) - Neutral transport limitations - Differential charging in high-aspect-ratio features - Sidewall passivation dynamics ## 10. Electromagnetic Effects in High-Density Sources ### 10.1 ICP Power Deposition The RF magnetic field induces an electric field: $$ \nabla \times \mathbf{E} = -i\omega \mathbf{B} $$ Power deposition density: $$ P = \frac{1}{2}\text{Re}(\mathbf{J}^* \cdot \mathbf{E}) = \frac{1}{2}\text{Re}(\sigma_p)|\mathbf{E}|^2 $$ ### 10.2 Plasma Conductivity $$ \sigma_p = \frac{n_e e^2}{m_e(\nu_m + i\omega)} $$ Where: - $\nu_m$ — Electron momentum transfer collision frequency - $\omega$ — RF angular frequency ### 10.3 Skin Depth Electromagnetic field penetration depth: $$ \delta = \sqrt{\frac{2}{\omega \mu_0 \text{Re}(\sigma_p)}} $$ **Typical values**: $\delta \approx 1\text{–}3$ cm, creating non-uniform power deposition. ### 10.4 E-to-H Mode Transition ICPs exhibit hysteresis behavior: - **E-mode** (low power): Capacitive coupling, low plasma density - **H-mode** (high power): Inductive coupling, high plasma density The transition involves bifurcation in the coupled power-density equations. ## 11. Surface Reaction Modeling ### 11.1 Surface Reaction Mechanisms #### Langmuir-Hinshelwood Mechanism Both reactants adsorbed: $$ R = k \theta_A \theta_B $$ #### Eley-Rideal Mechanism One reactant from gas phase: $$ R = k P_A \theta_B $$ #### Surface Coverage Dynamics $$ \frac{d\theta}{dt} = k_{\text{ads}}P(1-\theta) - k_{\text{des}}\theta - k_{\text{react}}\theta $$ ### 11.2 Kinetic Monte Carlo (KMC) For atomic-scale surface evolution: 1. Catalog all possible events with rates $\{k_i\}$ 2. Calculate total rate: $k_{\text{tot}} = \sum_i k_i$ 3. Time advance: $\Delta t = -\ln(r_1)/k_{\text{tot}}$ 4. Select event $j$ probabilistically 5. Execute event and update configuration ### 11.3 Molecular Dynamics for Ion-Surface Interactions Newton's equations with empirical potentials: $$ m_i \frac{d^2 \mathbf{r}_i}{dt^2} = -\nabla_i U(\{\mathbf{r}\}) $$ **Potentials used**: - Stillinger-Weber (Si) - Tersoff (C, Si, Ge) - ReaxFF (reactive systems) **Outputs**: - Sputter yields $Y(\varepsilon, \theta)$ - Damage depth profiles - Reaction probabilities ## 12. Emerging Mathematical Methods ### 12.1 Machine Learning in Plasma Modeling - **Surrogate models**: Neural networks for real-time prediction - **Reduced-order models**: POD/DMD for parametric studies - **Inverse problems**: Inferring plasma parameters from sensor data ### 12.2 Uncertainty Quantification Given uncertainties in input parameters: - Cross-section data (~20–50% uncertainty) - Surface reaction coefficients - Boundary conditions **Propagation methods**: - Polynomial chaos expansions - Monte Carlo sampling - Sensitivity analysis (Sobol indices) ### 12.3 Data-Driven Closures Learning moment closures from kinetic data: $$ \mathbf{q} = \mathcal{F}_\theta(n, \mathbf{u}, T, \nabla T, \ldots) $$ Where $\mathcal{F}_\theta$ is a neural network trained on PIC simulation data. ## 13. Key Dimensionless Groups | Parameter | Definition | Significance | |-----------|------------|--------------| | $\Lambda = L/\lambda_D$ | System size / Debye length | Plasma character ($\gg 1$ for quasi-neutrality) | | $\omega/\nu_m$ | Frequency / collision rate | Collisional vs collisionless | | $\omega/\omega_{pe}$ | Frequency / plasma frequency | Wave propagation regime | | $r_L/L$ | Larmor radius / system size | Degree of magnetization | | $\text{Kn} = \lambda/L$ | Mean free path / system size | Fluid vs kinetic regime | | $\text{Re}_m$ | Magnetic Reynolds number | Magnetic field diffusion | ## 14. Example: Complete CCP Model ### 14.1 Governing Equations (1D) #### Electron Continuity $$ \frac{\partial n_e}{\partial t} + \frac{\partial \Gamma_e}{\partial x} = k_{\text{iz}} n_e n_g - k_{\text{att}} n_e n_g $$ #### Electron Flux $$ \Gamma_e = -\mu_e n_e E - D_e \frac{\partial n_e}{\partial x} $$ #### Ion Continuity $$ \frac{\partial n_i}{\partial t} + \frac{\partial \Gamma_i}{\partial x} = k_{\text{iz}} n_e n_g $$ #### Electron Energy Density $$ \frac{\partial n_\varepsilon}{\partial t} + \frac{\partial \Gamma_\varepsilon}{\partial x} + e\Gamma_e E = -\sum_j n_e n_g k_j \varepsilon_j $$ #### Poisson Equation $$ \frac{\partial^2 \phi}{\partial x^2} = -\frac{e}{\varepsilon_0}(n_i - n_e) $$ ### 14.2 Boundary Conditions At electrodes ($x = 0, L$): - **Potential**: $\phi(0,t) = V_{\text{rf}}\sin(\omega t)$, $\phi(L,t) = 0$ - **Secondary emission**: $\Gamma_e = \gamma \Gamma_i$ (with $\gamma \approx 0.1$) - **Kinetic fluxes**: Derived from distribution function at boundary ### 14.3 Numerical Parameters | Parameter | Typical Value | |-----------|---------------| | Grid points | ~1000 | | Species | ~10 | | RF cycles to steady state | $10^5\text{–}10^6$ | | Time step | $\Delta t < 0.1/\omega_{pe}$ | ## Summary The mathematical modeling of plasmas in semiconductor manufacturing represents a magnificent multi-physics, multi-scale scientific endeavor requiring: 1. **Kinetic theory** for non-equilibrium particle distributions 2. **Fluid mechanics** for macroscopic transport 3. **Electromagnetism** for field and power coupling 4. **Chemical kinetics** for reactive processes 5. **Surface science** for etch/deposition mechanisms 6. **Numerical analysis** for efficient computation 7. **Uncertainty quantification** for predictive capability The field continues to advance with machine learning integration, exascale computing enabling full 3D kinetic simulations, and tighter coupling between atomic-scale and reactor-scale models—driven by the relentless progression toward smaller feature sizes and novel materials in semiconductor technology.
# Semiconductor Manufacturing Process: Plasma Physics Mathematical Modeling ## 1. The Physical Context Semiconductor manufacturing relies on **low-temperature, non-equilibrium plasmas** for etching and deposition. ### Key Characteristics - **Electron temperature**: $T_e \approx 1\text{–}10 \text{ eV}$ (~10,000–100,000 K) - **Ion/neutral temperature**: $T_i \approx 0.03 \text{ eV}$ (near room temperature) - **Non-equilibrium condition**: $T_e \gg T_i$ This disparity is essential—hot electrons drive chemistry while cool heavy particles preserve delicate nanoscale structures. ### Common Reactor Types - **CCP (Capacitively Coupled Plasmas)**: Used for reactive ion etching (RIE) - **ICP (Inductively Coupled Plasmas)**: High-density plasma etching - **ECR (Electron Cyclotron Resonance)**: Microwave-driven high-density sources - **Remote plasma sources**: Gentle surface treatment and cleaning ## 2. Fundamental Governing Equations ### 2.1 The Boltzmann Equation (Master Kinetic Equation) The foundation of plasma kinetic theory: $$ \frac{\partial f_s}{\partial t} + \mathbf{v} \cdot \nabla_{\mathbf{r}} f_s + \frac{q_s}{m_s}(\mathbf{E} + \mathbf{v} \times \mathbf{B}) \cdot \nabla_{\mathbf{v}} f_s = \left(\frac{\partial f_s}{\partial t}\right)_{\text{coll}} $$ Where: - $f_s(\mathbf{r}, \mathbf{v}, t)$ — Distribution function for species $s$ in 6D phase space - $q_s$ — Particle charge - $m_s$ — Particle mass - $\mathbf{E}$, $\mathbf{B}$ — Electric and magnetic fields - Right-hand side — Collision operator encoding all scattering physics ### 2.2 Fluid Approximation (Moment Equations) Taking velocity moments of the Boltzmann equation yields the fluid hierarchy: #### Continuity Equation (Zeroth Moment) $$ \frac{\partial n_s}{\partial t} + \nabla \cdot (n_s \mathbf{u}_s) = S_s $$ Where: - $n_s$ — Number density of species $s$ - $\mathbf{u}_s$ — Mean velocity - $S_s$ — Source/sink terms from chemical reactions #### Momentum Equation (First Moment) $$ m_s n_s \frac{D\mathbf{u}_s}{Dt} = q_s n_s (\mathbf{E} + \mathbf{u}_s \times \mathbf{B}) - \nabla p_s - \nabla \cdot \boldsymbol{\Pi}_s + \mathbf{R}_s $$ Where: - $p_s = n_s k_B T_s$ — Scalar pressure - $\boldsymbol{\Pi}_s$ — Viscous stress tensor - $\mathbf{R}_s$ — Momentum transfer from collisions #### Energy Equation (Second Moment) $$ \frac{\partial}{\partial t}\left(\frac{3}{2}n_s k_B T_s\right) + \nabla \cdot \mathbf{q}_s + p_s \nabla \cdot \mathbf{u}_s = Q_s $$ Where: - $\mathbf{q}_s$ — Heat flux vector - $Q_s$ — Energy source terms (heating, cooling, reactions) ### 2.3 Maxwell's Equations #### Full Electromagnetic Set $$ \nabla \cdot \mathbf{E} = \frac{\rho}{\varepsilon_0} = \frac{e}{\varepsilon_0}\sum_s Z_s n_s $$ $$ \nabla \times \mathbf{E} = -\frac{\partial \mathbf{B}}{\partial t} $$ $$ \nabla \cdot \mathbf{B} = 0 $$ $$ \nabla \times \mathbf{B} = \mu_0 \mathbf{J} + \mu_0 \varepsilon_0 \frac{\partial \mathbf{E}}{\partial t} $$ #### Electrostatic Approximation (Poisson Equation) For most processing plasmas: $$ \nabla^2 \phi = -\frac{e}{\varepsilon_0}(n_i - n_e) $$ Where $\mathbf{E} = -\nabla \phi$. ## 3. Critical Plasma Parameters ### 3.1 Debye Length The characteristic shielding scale: $$ \lambda_D = \sqrt{\frac{\varepsilon_0 k_B T_e}{n_e e^2}} $$ Numerical form: $$ \lambda_D \approx 7.43 \times 10^{3} \sqrt{\frac{T_e[\text{eV}]}{n_e[\text{m}^{-3}]}} \text{ m} $$ **Typical values**: 10–100 μm in processing plasmas. ### 3.2 Plasma Frequency The characteristic electron oscillation frequency: $$ \omega_{pe} = \sqrt{\frac{n_e e^2}{m_e \varepsilon_0}} $$ Numerical form: $$ \omega_{pe} \approx 56.4 \sqrt{n_e[\text{m}^{-3}]} \text{ rad/s} $$ ### 3.3 Collision Frequency Electron-neutral collision frequency: $$ \nu_{en} = n_g \langle \sigma_{en} v_e \rangle \approx n_g \sigma_{en} \bar{v}_e $$ Where: - $n_g$ — Neutral gas density - $\sigma_{en}$ — Collision cross-section - $\bar{v}_e = \sqrt{8 k_B T_e / \pi m_e}$ — Mean electron speed ### 3.4 Knudsen Number Determines the validity of fluid vs kinetic models: $$ \text{Kn} = \frac{\lambda_{\text{mfp}}}{L} $$ Where: - $\lambda_{\text{mfp}}$ — Mean free path - $L$ — Characteristic system length **Regimes**: - $\text{Kn} \ll 1$: Fluid models valid (collisional regime) - $\text{Kn} \gg 1$: Kinetic treatment required (collisionless regime) - $\text{Kn} \sim 1$: Transitional regime (most challenging) ## 4. Sheath Physics: The Critical Interface The **sheath** is the thin, non-neutral region where ions accelerate toward surfaces. This controls ion bombardment energy—the key parameter for anisotropic etching. ### 4.1 Bohm Criterion Ions must enter the sheath at or above the Bohm velocity: $$ u_s \geq u_B = \sqrt{\frac{k_B T_e}{m_i}} $$ This arises from requiring monotonically decreasing potential solutions. ### 4.2 Child-Langmuir Law (Collisionless Sheath) Space-charge-limited current density: $$ J = \frac{4\varepsilon_0}{9}\sqrt{\frac{2e}{m_i}}\frac{V_0^{3/2}}{s^2} $$ Where: - $J$ — Ion current density - $V_0$ — Sheath voltage - $s$ — Sheath thickness ### 4.3 Matrix Sheath Thickness For high-voltage sheaths: $$ s = \lambda_D \left(\frac{2V_0}{T_e}\right)^{1/2} $$ ### 4.4 RF Sheath Dynamics In RF plasmas, the sheath oscillates with the applied voltage, creating: - **Self-bias**: Time-averaged DC potential due to asymmetric current flow $$ V_{dc} = -V_{rf} + \frac{T_e}{e}\ln\left(\frac{m_i}{2\pi m_e}\right)^{1/2} $$ - **Ion Energy Distribution Functions (IEDF)**: Bimodal structure depending on frequency - **Stochastic heating**: Electrons gain energy from oscillating sheath boundary #### Frequency Dependence of IEDF | Condition | IEDF Shape | |-----------|------------| | $\omega \ll \omega_{pi}$ (low frequency) | Broad bimodal distribution | | $\omega \gg \omega_{pi}$ (high frequency) | Narrow peak at average energy | ## 5. Electron Energy Distribution Functions (EEDF) ### 5.1 Non-Maxwellian Distributions The EEDF is generally **not Maxwellian** in low-pressure plasmas. The two-term Boltzmann equation: $$ -\frac{d}{d\varepsilon}\left[A(\varepsilon)\frac{df}{d\varepsilon} + B(\varepsilon)f\right] = C_{\text{inel}}(f) $$ Where: - $A(\varepsilon)$, $B(\varepsilon)$ — Coefficients depending on E-field and cross-sections - $C_{\text{inel}}$ — Inelastic collision operator ### 5.2 Common Distribution Types #### Maxwellian Distribution $$ f_M(\varepsilon) = \frac{2\sqrt{\varepsilon}}{\sqrt{\pi}(k_B T_e)^{3/2}} \exp\left(-\frac{\varepsilon}{k_B T_e}\right) $$ #### Druyvesteyn Distribution (Elastic-Dominated) $$ f_D(\varepsilon) \propto \exp\left(-c\varepsilon^2\right) $$ #### Bi-Maxwellian Distribution $$ f_{bi}(\varepsilon) = \alpha f_M(\varepsilon; T_{e1}) + (1-\alpha) f_M(\varepsilon; T_{e2}) $$ ### 5.3 Rate Coefficient Calculation Reaction rates depend on the EEDF: $$ k = \langle \sigma v \rangle = \int_0^\infty \sigma(\varepsilon) v(\varepsilon) f(\varepsilon) \, d\varepsilon $$ For electron-impact reactions: $$ k_e = \sqrt{\frac{2}{m_e}} \int_0^\infty \varepsilon \, \sigma(\varepsilon) f(\varepsilon) \, d\varepsilon $$ ## 6. Plasma Chemistry Modeling ### 6.1 Species Rate Equations General form: $$ \frac{dn_i}{dt} = \sum_j k_j \prod_l n_l^{\nu_{jl}} - n_i \nu_{\text{loss}} $$ Where: - $k_j$ — Rate coefficient for reaction $j$ - $\nu_{jl}$ — Stoichiometric coefficient - $\nu_{\text{loss}}$ — Total loss frequency ### 6.2 Arrhenius Rate Coefficients For thermal reactions: $$ k(T) = A T^n \exp\left(-\frac{E_a}{k_B T}\right) $$ Where: - $A$ — Pre-exponential factor - $n$ — Temperature exponent - $E_a$ — Activation energy ### 6.3 Example: Chlorine Plasma Chemistry Simplified Cl₂ plasma reaction set: | Reaction | Type | Threshold | |----------|------|-----------| | $e + \text{Cl}_2 \rightarrow 2\text{Cl} + e$ | Dissociation | ~2.5 eV | | $e + \text{Cl}_2 \rightarrow \text{Cl}_2^+ + 2e$ | Ionization | ~11.5 eV | | $e + \text{Cl} \rightarrow \text{Cl}^+ + 2e$ | Ionization | ~13 eV | | $e + \text{Cl}^- \rightarrow \text{Cl} + 2e$ | Detachment | — | | $\text{Cl}_2^+ + e \rightarrow 2\text{Cl}$ | Dissociative recombination | — | | $\text{Cl} + \text{wall} \rightarrow \frac{1}{2}\text{Cl}_2$ | Surface recombination | — | Full models include 50+ reactions with rate constants spanning 10+ orders of magnitude. ## 7. Transport Models ### 7.1 Drift-Diffusion Approximation Standard flux expression: $$ \boldsymbol{\Gamma}_s = \text{sgn}(q_s) \mu_s n_s \mathbf{E} - D_s \nabla n_s $$ Where: - $\mu_s$ — Mobility - $D_s$ — Diffusion coefficient **Einstein Relation**: $$ \frac{D_s}{\mu_s} = \frac{k_B T_s}{|q_s|} $$ ### 7.2 Ambipolar Diffusion In quasi-neutral bulk plasma, electrons and ions diffuse together: $$ D_a = \frac{\mu_i D_e + \mu_e D_i}{\mu_e + \mu_i} $$ Since $\mu_e \gg \mu_i$: $$ D_a \approx D_i \left(1 + \frac{T_e}{T_i}\right) $$ ### 7.3 Tensor Transport (Magnetized Plasmas) In magnetic fields, transport becomes anisotropic: $$ \boldsymbol{\Gamma} = -\mathbf{D} \cdot \nabla n + n \boldsymbol{\mu} \cdot \mathbf{E} $$ The diffusion tensor has components: - **Parallel**: $D_\parallel = D_0$ - **Perpendicular**: $D_\perp = \frac{D_0}{1 + \omega_c^2 \tau^2}$ - **Hall**: $D_H = \frac{\omega_c \tau D_0}{1 + \omega_c^2 \tau^2}$ Where $\omega_c = qB/m$ is the cyclotron frequency. ## 8. Computational Approaches ### 8.1 Hierarchy of Models | Model | Dimensions | Physics Captured | Typical Runtime | |-------|------------|------------------|-----------------| | Global (0D) | Volume-averaged | Detailed chemistry | Seconds | | Fluid (1D-3D) | Spatial resolution | Transport + chemistry | Minutes–Hours | | PIC-MCC | Full phase space | Kinetic ions/electrons | Days–Weeks | | Hybrid | Mixed | Fluid electrons + kinetic ions | Hours–Days | ### 8.2 Fluid Model Implementation Solve the coupled system: 1. **Species continuity equations** (one per species) 2. **Electron energy equation** 3. **Poisson equation** 4. **Momentum equations** (often drift-diffusion limit) #### Numerical Challenges - **Nonlinear coupling**: Exponential dependence of source terms on $T_e$ - **Disparate timescales**: - Electron dynamics: ~ns - Ion dynamics: ~μs - Chemistry: ~ms - **Spatial scales**: Sheath ($\lambda_D \sim 100$ μm) vs reactor (~0.1 m) #### Common Numerical Techniques - Semi-implicit time stepping - Scharfetter-Gummel discretization for drift-diffusion fluxes - Multigrid Poisson solvers - Adaptive mesh refinement near sheaths ### 8.3 Particle-in-Cell with Monte Carlo Collisions (PIC-MCC) #### Algorithm Steps 1. **Push particles** using equations of motion: $$ \frac{d\mathbf{x}}{dt} = \mathbf{v}, \quad m\frac{d\mathbf{v}}{dt} = q(\mathbf{E} + \mathbf{v} \times \mathbf{B}) $$ 2. **Deposit charge** onto computational grid 3. **Solve Poisson** equation for electric field 4. **Interpolate field** back to particle positions 5. **Monte Carlo collisions** based on cross-sections #### Applications - Low-pressure kinetic regimes - IEDF predictions - Non-local electron kinetics - Detailed sheath physics #### Computational Cost Scales as $O(N_p \log N_p)$ per timestep, with $N_p \sim 10^6\text{–}10^8$ superparticles. ## 9. Multi-Scale Coupling: The Grand Challenge ### 9.1 Scale Hierarchy | Scale | Phenomenon | Typical Model | |-------|------------|---------------| | Å–nm | Surface reactions, damage | MD, DFT | | nm–μm | Feature evolution | Level-set, Monte Carlo | | μm–mm | Sheath, transport | Fluid/kinetic plasma | | mm–m | Reactor, gas flow | CFD + plasma | ### 9.2 Feature-Scale Modeling #### Level-Set Method Track the evolving surface $\phi = 0$: $$ \frac{\partial \phi}{\partial t} + V_n |\nabla \phi| = 0 $$ Where $V_n$ is the local etch/deposition rate depending on: - Ion flux $\Gamma_i$ and energy $\varepsilon_i$ from plasma model - Neutral radical flux $\Gamma_n$ - Surface composition and local geometry - Angle-dependent yields $Y(\theta, \varepsilon)$ #### Etch Rate Model $$ R = Y_0 \Gamma_i f(\varepsilon) + k_s \Gamma_n \theta_s $$ Where: - $Y_0$ — Base sputter yield - $f(\varepsilon)$ — Energy-dependent yield function - $k_s$ — Surface reaction rate - $\theta_s$ — Surface coverage ### 9.3 Aspect Ratio Dependent Etching (ARDE) $$ \frac{R_{\text{bottom}}}{R_{\text{top}}} = f(\text{AR}) $$ #### Physical Mechanisms - Ion angular distribution effects (Knudsen diffusion in feature) - Neutral transport limitations - Differential charging in high-aspect-ratio features - Sidewall passivation dynamics ## 10. Electromagnetic Effects in High-Density Sources ### 10.1 ICP Power Deposition The RF magnetic field induces an electric field: $$ \nabla \times \mathbf{E} = -i\omega \mathbf{B} $$ Power deposition density: $$ P = \frac{1}{2}\text{Re}(\mathbf{J}^* \cdot \mathbf{E}) = \frac{1}{2}\text{Re}(\sigma_p)|\mathbf{E}|^2 $$ ### 10.2 Plasma Conductivity $$ \sigma_p = \frac{n_e e^2}{m_e(\nu_m + i\omega)} $$ Where: - $\nu_m$ — Electron momentum transfer collision frequency - $\omega$ — RF angular frequency ### 10.3 Skin Depth Electromagnetic field penetration depth: $$ \delta = \sqrt{\frac{2}{\omega \mu_0 \text{Re}(\sigma_p)}} $$ **Typical values**: $\delta \approx 1\text{–}3$ cm, creating non-uniform power deposition. ### 10.4 E-to-H Mode Transition ICPs exhibit hysteresis behavior: - **E-mode** (low power): Capacitive coupling, low plasma density - **H-mode** (high power): Inductive coupling, high plasma density The transition involves bifurcation in the coupled power-density equations. ## 11. Surface Reaction Modeling ### 11.1 Surface Reaction Mechanisms #### Langmuir-Hinshelwood Mechanism Both reactants adsorbed: $$ R = k \theta_A \theta_B $$ #### Eley-Rideal Mechanism One reactant from gas phase: $$ R = k P_A \theta_B $$ #### Surface Coverage Dynamics $$ \frac{d\theta}{dt} = k_{\text{ads}}P(1-\theta) - k_{\text{des}}\theta - k_{\text{react}}\theta $$ ### 11.2 Kinetic Monte Carlo (KMC) For atomic-scale surface evolution: 1. Catalog all possible events with rates $\{k_i\}$ 2. Calculate total rate: $k_{\text{tot}} = \sum_i k_i$ 3. Time advance: $\Delta t = -\ln(r_1)/k_{\text{tot}}$ 4. Select event $j$ probabilistically 5. Execute event and update configuration ### 11.3 Molecular Dynamics for Ion-Surface Interactions Newton's equations with empirical potentials: $$ m_i \frac{d^2 \mathbf{r}_i}{dt^2} = -\nabla_i U(\{\mathbf{r}\}) $$ **Potentials used**: - Stillinger-Weber (Si) - Tersoff (C, Si, Ge) - ReaxFF (reactive systems) **Outputs**: - Sputter yields $Y(\varepsilon, \theta)$ - Damage depth profiles - Reaction probabilities ## 12. Emerging Mathematical Methods ### 12.1 Machine Learning in Plasma Modeling - **Surrogate models**: Neural networks for real-time prediction - **Reduced-order models**: POD/DMD for parametric studies - **Inverse problems**: Inferring plasma parameters from sensor data ### 12.2 Uncertainty Quantification Given uncertainties in input parameters: - Cross-section data (~20–50% uncertainty) - Surface reaction coefficients - Boundary conditions **Propagation methods**: - Polynomial chaos expansions - Monte Carlo sampling - Sensitivity analysis (Sobol indices) ### 12.3 Data-Driven Closures Learning moment closures from kinetic data: $$ \mathbf{q} = \mathcal{F}_\theta(n, \mathbf{u}, T, \nabla T, \ldots) $$ Where $\mathcal{F}_\theta$ is a neural network trained on PIC simulation data. ## 13. Key Dimensionless Groups | Parameter | Definition | Significance | |-----------|------------|--------------| | $\Lambda = L/\lambda_D$ | System size / Debye length | Plasma character ($\gg 1$ for quasi-neutrality) | | $\omega/\nu_m$ | Frequency / collision rate | Collisional vs collisionless | | $\omega/\omega_{pe}$ | Frequency / plasma frequency | Wave propagation regime | | $r_L/L$ | Larmor radius / system size | Degree of magnetization | | $\text{Kn} = \lambda/L$ | Mean free path / system size | Fluid vs kinetic regime | | $\text{Re}_m$ | Magnetic Reynolds number | Magnetic field diffusion | ## 14. Example: Complete CCP Model ### 14.1 Governing Equations (1D) #### Electron Continuity $$ \frac{\partial n_e}{\partial t} + \frac{\partial \Gamma_e}{\partial x} = k_{\text{iz}} n_e n_g - k_{\text{att}} n_e n_g $$ #### Electron Flux $$ \Gamma_e = -\mu_e n_e E - D_e \frac{\partial n_e}{\partial x} $$ #### Ion Continuity $$ \frac{\partial n_i}{\partial t} + \frac{\partial \Gamma_i}{\partial x} = k_{\text{iz}} n_e n_g $$ #### Electron Energy Density $$ \frac{\partial n_\varepsilon}{\partial t} + \frac{\partial \Gamma_\varepsilon}{\partial x} + e\Gamma_e E = -\sum_j n_e n_g k_j \varepsilon_j $$ #### Poisson Equation $$ \frac{\partial^2 \phi}{\partial x^2} = -\frac{e}{\varepsilon_0}(n_i - n_e) $$ ### 14.2 Boundary Conditions At electrodes ($x = 0, L$): - **Potential**: $\phi(0,t) = V_{\text{rf}}\sin(\omega t)$, $\phi(L,t) = 0$ - **Secondary emission**: $\Gamma_e = \gamma \Gamma_i$ (with $\gamma \approx 0.1$) - **Kinetic fluxes**: Derived from distribution function at boundary ### 14.3 Numerical Parameters | Parameter | Typical Value | |-----------|---------------| | Grid points | ~1000 | | Species | ~10 | | RF cycles to steady state | $10^5\text{–}10^6$ | | Time step | $\Delta t < 0.1/\omega_{pe}$ | ## Summary The mathematical modeling of plasmas in semiconductor manufacturing represents a magnificent multi-physics, multi-scale scientific endeavor requiring: 1. **Kinetic theory** for non-equilibrium particle distributions 2. **Fluid mechanics** for macroscopic transport 3. **Electromagnetism** for field and power coupling 4. **Chemical kinetics** for reactive processes 5. **Surface science** for etch/deposition mechanisms 6. **Numerical analysis** for efficient computation 7. **Uncertainty quantification** for predictive capability The field continues to advance with machine learning integration, exascale computing enabling full 3D kinetic simulations, and tighter coupling between atomic-scale and reactor-scale models—driven by the relentless progression toward smaller feature sizes and novel materials in semiconductor technology.
# Semiconductor Manufacturing Plasma Science ## Overview This document covers the physics, chemistry, and engineering of plasma processes in semiconductor manufacturing—the foundation of modern chip fabrication. ## 1. Fundamentals of Plasma Physics ### 1.1 What is Plasma? Plasma is the **fourth state of matter**—an ionized gas containing: - Free electrons ($e^-$) - Positive ions ($\text{Ar}^+$, $\text{Cl}^+$, $\text{F}^+$, etc.) - Neutral species (atoms, molecules, radicals) In semiconductor processing, we use **non-equilibrium** or **cold** plasmas where: $$ T_e \gg T_i \approx T_n \approx T_{\text{room}} $$ Where: - $T_e$ = electron temperature (~1–10 eV, equivalent to $10^4$–$10^5$ K) - $T_i$ = ion temperature (~0.025–0.1 eV) - $T_n$ = neutral temperature (~300 K) This asymmetry allows chemically reactive species to be generated without thermally damaging the substrate. ### 1.2 Key Plasma Parameters | Parameter | Symbol | Typical Value | Description | |-----------|--------|---------------|-------------| | Electron density | $n_e$ | $10^9$–$10^{12}$ cm$^{-3}$ | Number of electrons per unit volume | | Electron temperature | $T_e$ | 1–10 eV | Mean kinetic energy of electrons | | Ion temperature | $T_i$ | 0.025–0.1 eV | Mean kinetic energy of ions | | Debye length | $\lambda_D$ | 10–100 μm | Characteristic shielding distance | | Plasma frequency | $\omega_{pe}$ | ~GHz | Characteristic oscillation frequency | ### 1.3 Debye Length The **Debye length** characterizes the distance over which charge separation can occur: $$ \lambda_D = \sqrt{\frac{\varepsilon_0 k_B T_e}{n_e e^2}} $$ Where: - $\varepsilon_0$ = permittivity of free space ($8.85 \times 10^{-12}$ F/m) - $k_B$ = Boltzmann constant ($1.38 \times 10^{-23}$ J/K) - $T_e$ = electron temperature (K) - $n_e$ = electron density (m$^{-3}$) - $e$ = electron charge ($1.6 \times 10^{-19}$ C) ### 1.4 Plasma Frequency The **plasma frequency** is the natural oscillation frequency of electrons: $$ \omega_{pe} = \sqrt{\frac{n_e e^2}{\varepsilon_0 m_e}} $$ Or in practical units: $$ f_{pe} \approx 9 \sqrt{n_e} \text{ Hz} \quad \text{(with } n_e \text{ in m}^{-3}\text{)} $$ ## 2. The Plasma Sheath ### 2.1 Sheath Formation The **plasma sheath** is the most critical region for semiconductor processing. At any surface in contact with plasma: 1. Electrons (lighter, faster) escape more readily than ions 2. A positive space charge region forms adjacent to the surface 3. This creates a potential drop that accelerates ions toward the substrate ### 2.2 Sheath Potential The **Bohm criterion** requires ions entering the sheath to have a minimum velocity: $$ v_{\text{Bohm}} = \sqrt{\frac{k_B T_e}{M_i}} $$ Where $M_i$ is the ion mass. The **floating potential** (potential of an isolated surface) is approximately: $$ V_f \approx -\frac{k_B T_e}{2e} \ln\left(\frac{M_i}{2\pi m_e}\right) $$ For argon plasma with $T_e = 3$ eV: $$ V_f \approx -15 \text{ V} $$ ### 2.3 Child-Langmuir Law The **ion current density** through a collisionless sheath is given by: $$ J_i = \frac{4\varepsilon_0}{9} \sqrt{\frac{2e}{M_i}} \frac{V^{3/2}}{d^2} $$ Where: - $V$ = sheath voltage - $d$ = sheath thickness ### 2.4 Sheath Thickness The sheath thickness scales approximately as: $$ s \approx \lambda_D \left(\frac{2eV_s}{k_B T_e}\right)^{3/4} $$ Where $V_s$ is the sheath voltage. ## 3. Plasma Etching ### 3.1 Etching Mechanisms Three primary mechanisms contribute to plasma etching: 1. **Chemical etching** (isotropic): $$ \text{Rate}_{\text{chem}} \propto \Gamma_n \cdot S \cdot \exp\left(-\frac{E_a}{k_B T_s}\right) $$ Where $\Gamma_n$ is neutral flux, $S$ is sticking coefficient, $E_a$ is activation energy 2. **Physical sputtering** (anisotropic): $$ Y(E) = \frac{0.042 \cdot Q \cdot \alpha^* \cdot S_n(E)}{U_s} $$ Where $Y$ is sputter yield, $E$ is ion energy, $U_s$ is surface binding energy 3. **Ion-enhanced etching** (synergistic): $$ \text{Rate}_{\text{total}} > \text{Rate}_{\text{chem}} + \text{Rate}_{\text{phys}} $$ ### 3.2 Etch Rate Equation A general expression for ion-enhanced etch rate: $$ \text{ER} = \frac{1}{n} \left[ k_s \Gamma_n \theta + Y_{\text{phys}} \Gamma_i + Y_{\text{ion}} \Gamma_i (1-\theta) + Y_{\text{chem}} \Gamma_i \theta \right] $$ Where: - $n$ = atomic density of material - $\Gamma_n$ = neutral flux - $\Gamma_i$ = ion flux - $\theta$ = surface coverage of reactive species - $Y$ = yield coefficients ### 3.3 Ion Energy Distribution Function (IEDF) For sinusoidal RF bias, the IEDF is bimodal with peaks at: $$ E_{\pm} = eV_{dc} \pm eV_{rf} \cdot \frac{\omega_{pi}}{\omega_{rf}} $$ Where: - $V_{dc}$ = DC self-bias voltage - $V_{rf}$ = RF amplitude - $\omega_{pi}$ = ion plasma frequency - $\omega_{rf}$ = RF frequency The peak separation: $$ \Delta E = 2eV_{rf} \cdot \frac{\omega_{pi}}{\omega_{rf}} $$ ### 3.4 Common Etch Chemistries | Material | Chemistry | Key Radicals | Byproducts | |----------|-----------|--------------|------------| | Silicon | SF$_6$, Cl$_2$, HBr | F*, Cl*, Br* | SiF$_4$, SiCl$_4$ | | SiO$_2$ | CF$_4$, CHF$_3$, C$_4$F$_8$ | CF$_x$*, F* | SiF$_4$, CO, CO$_2$ | | Si$_3$N$_4$ | CF$_4$/O$_2$ | F*, O* | SiF$_4$, N$_2$ | | Al | Cl$_2$/BCl$_3$ | Cl* | AlCl$_3$ | | Photoresist | O$_2$ | O* | CO, CO$_2$, H$_2$O | ### 3.5 Selectivity **Selectivity** is the ratio of etch rates between target and mask (or underlayer): $$ S = \frac{\text{ER}_{\text{target}}}{\text{ER}_{\text{mask}}} $$ For oxide-to-nitride selectivity in fluorocarbon plasmas: $$ S_{\text{ox/nit}} = \frac{\text{ER}_{\text{SiO}_2}}{\text{ER}_{\text{Si}_3\text{N}_4}} \propto \frac{[\text{F}]}{[\text{CF}_x]} $$ ## 4. Plasma Sources ### 4.1 Capacitively Coupled Plasma (CCP) **Configuration**: Parallel plate electrodes with RF power **Power absorption**: Primarily through stochastic (collisionless) heating: $$ P_{\text{stoch}} \propto \frac{m_e v_e^2 \omega_{rf}^2 s_0^2}{v_{th,e}} $$ Where $s_0$ is the sheath oscillation amplitude. **Dual-frequency operation**: - High frequency (27–100 MHz): Controls plasma density - Low frequency (100 kHz–13 MHz): Controls ion energy Ion energy scaling: $$ \langle E_i \rangle \propto \frac{V_{rf}^2}{n_e^{0.5}} $$ ### 4.2 Inductively Coupled Plasma (ICP) **Power transfer**: Through induced electric field from RF current in coil: $$ E_\theta = -\frac{\partial A_\theta}{\partial t} = j\omega A_\theta $$ **Skin depth** (characteristic penetration depth of fields): $$ \delta = \sqrt{\frac{2}{\omega \mu_0 \sigma_p}} $$ Where $\sigma_p$ is plasma conductivity: $$ \sigma_p = \frac{n_e e^2}{m_e \nu_m} $$ **Power density**: $$ P = \frac{1}{2} \text{Re}(\sigma_p) |E|^2 $$ **Advantages**: - Higher plasma density: $10^{11}$–$10^{12}$ cm$^{-3}$ - Lower operating pressure: 1–50 mTorr - Independent control of ion flux and energy ### 4.3 Plasma Density Comparison | Source Type | Density (cm$^{-3}$) | Pressure Range | Ion Energy Control | |-------------|---------------------|----------------|-------------------| | CCP | $10^9$–$10^{10}$ | 10–1000 mTorr | Coupled | | ICP | $10^{11}$–$10^{12}$ | 1–50 mTorr | Independent | | ECR | $10^{11}$–$10^{12}$ | 0.1–10 mTorr | Independent | | Helicon | $10^{12}$–$10^{13}$ | 0.1–10 mTorr | Independent | ## 5. Plasma-Enhanced Deposition ### 5.1 PECVD Fundamentals **Reaction rate** in PECVD: $$ R = k_0 \exp\left(-\frac{E_a}{k_B T_{eff}}\right) [A]^a [B]^b $$ Where $T_{eff}$ is an effective temperature combining gas and electron contributions. The plasma reduces the effective activation energy by providing: - Electron-impact dissociation - Ion bombardment energy - Radical species ### 5.2 Common PECVD Reactions **Silicon dioxide** from silane and nitrous oxide: $$ \text{SiH}_4 + 2\text{N}_2\text{O} \xrightarrow{\text{plasma}} \text{SiO}_2 + 2\text{N}_2 + 2\text{H}_2 $$ **Silicon nitride** from silane and ammonia: $$ 3\text{SiH}_4 + 4\text{NH}_3 \xrightarrow{\text{plasma}} \text{Si}_3\text{N}_4 + 12\text{H}_2 $$ **Amorphous silicon**: $$ \text{SiH}_4 \xrightarrow{\text{plasma}} a\text{-Si:H} + 2\text{H}_2 $$ ### 5.3 Film Quality Parameters Film stress in PECVD films: $$ \sigma = \frac{E_f}{1-\nu_f} \left( \alpha_s - \alpha_f \right) \Delta T + \sigma_{\text{intrinsic}} $$ Where: - $E_f$ = film Young's modulus - $\nu_f$ = film Poisson's ratio - $\alpha_s, \alpha_f$ = thermal expansion coefficients (substrate, film) - $\sigma_{\text{intrinsic}}$ = intrinsic stress from deposition process ### 5.4 Plasma-Enhanced ALD (PEALD) **Growth per cycle (GPC)**: $$ \text{GPC} = \frac{\theta_{\text{sat}} \cdot \Omega}{A_{\text{site}}} $$ Where: - $\theta_{\text{sat}}$ = saturation coverage - $\Omega$ = molecular volume - $A_{\text{site}}$ = area per reactive site **Self-limiting behavior** requires: $$ \Gamma_{\text{precursor}} \cdot t_{\text{pulse}} > \frac{N_{\text{sites}}}{S_0} $$ Where $S_0$ is the initial sticking coefficient. ## 6. Advanced Topics ### 6.1 Aspect Ratio Dependent Etching (ARDE) Etch rate decreases with increasing aspect ratio due to: 1. **Ion shadowing**: Reduced ion flux at feature bottom 2. **Neutral transport**: Knudsen diffusion limitation 3. **Product redeposition**: Reduced volatile product escape **Knudsen number** for feature transport: $$ Kn = \frac{\lambda}{w} $$ Where $\lambda$ is mean free path, $w$ is feature width. For $Kn > 1$ (molecular flow regime): $$ \Gamma_{\text{bottom}} = \Gamma_{\text{top}} \cdot K(\text{AR}) $$ Where $K(\text{AR})$ is the Clausing factor, approximately: $$ K(\text{AR}) \approx \frac{1}{1 + \frac{3}{8}\text{AR}} $$ For high aspect ratio features. ### 6.2 Atomic Layer Etching (ALE) **Self-limiting surface modification**: $$ \theta(t) = \theta_{\text{sat}} \left[1 - \exp\left(-\frac{t}{\tau}\right)\right] $$ **Etch per cycle (EPC)**: $$ \text{EPC} = \frac{N_{\text{modified}} \cdot a}{n_{\text{film}}} $$ Where: - $N_{\text{modified}}$ = surface density of modified atoms - $a$ = atoms removed per modified site - $n_{\text{film}}$ = atomic density of film ### 6.3 Plasma-Induced Damage **Charging damage** occurs when: $$ V_{\text{antenna}} = \frac{J_e - J_i}{C_{\text{gate}}/A_{\text{antenna}}} \cdot t > V_{\text{breakdown}} $$ **Antenna ratio** limit: $$ \text{AR}_{\text{antenna}} = \frac{A_{\text{antenna}}}{A_{\text{gate}}} < \text{AR}_{\text{critical}} $$ **UV damage** from vacuum UV photons ($\lambda < 200$ nm): $$ N_{\text{defects}} \propto \int I(\lambda) \cdot \sigma(\lambda) \cdot d\lambda $$ ## 7. Plasma Diagnostics ### 7.1 Langmuir Probe Analysis **Electron density** from ion saturation current: $$ n_e = \frac{I_{i,sat}}{0.61 \cdot e \cdot A_p \cdot \sqrt{\frac{k_B T_e}{M_i}}} $$ **Electron temperature** from the exponential region: $$ T_e = \frac{e}{k_B} \left( \frac{d(\ln I_e)}{dV} \right)^{-1} $$ **EEDF** from second derivative of I-V curve: $$ f(\varepsilon) = \frac{2m_e}{e^2 A_p} \sqrt{\frac{2\varepsilon}{m_e}} \frac{d^2 I}{dV^2} $$ ### 7.2 Optical Emission Spectroscopy (OES) **Actinometry** for radical density measurement: $$ \frac{n_X}{n_{\text{Ar}}} = \frac{I_X}{I_{\text{Ar}}} \cdot \frac{\sigma_{\text{Ar}} \cdot Q_{\text{Ar}}}{\sigma_X \cdot Q_X} $$ Where: - $I$ = emission intensity - $\sigma$ = electron-impact excitation cross-section - $Q$ = quantum efficiency ## 8. Process Control Equations ### 8.1 Residence Time $$ \tau_{\text{res}} = \frac{p \cdot V}{Q \cdot k_B T} $$ Where: - $p$ = pressure - $V$ = chamber volume - $Q$ = gas flow rate (sccm converted to molecules/s) ### 8.2 Mean Free Path $$ \lambda = \frac{k_B T}{\sqrt{2} \pi d^2 p} $$ For argon at 10 mTorr and 300 K: $$ \lambda \approx 0.5 \text{ cm} $$ ### 8.3 Power Density **Effective power density** at wafer: $$ P_{\text{eff}} = \frac{\eta \cdot P_{\text{source}}}{A_{\text{wafer}}} $$ Where $\eta$ is power transfer efficiency (typically 0.3–0.7). ## 9. Critical Equations | Application | Equation | Key Parameters | |-------------|----------|----------------| | Debye length | $\lambda_D = \sqrt{\frac{\varepsilon_0 k_B T_e}{n_e e^2}}$ | $T_e$, $n_e$ | | Bohm velocity | $v_B = \sqrt{\frac{k_B T_e}{M_i}}$ | $T_e$, $M_i$ | | Skin depth | $\delta = \sqrt{\frac{2}{\omega \mu_0 \sigma_p}}$ | $\omega$, $n_e$ | | Selectivity | $S = \frac{\text{ER}_1}{\text{ER}_2}$ | Chemistry, energy | | ARDE factor | $K \approx (1 + 0.375 \cdot \text{AR})^{-1}$ | Aspect ratio | | Residence time | $\tau = \frac{pV}{Qk_B T}$ | $p$, $Q$, $V$ |
Use plasma to enhance bonding.
# Semiconductor Manufacturing Plasma Processes Plasma processes are foundational to modern semiconductor fabrication—nearly 40-50% of all processing steps in advanced chip manufacturing involve plasma in some form. ## 1. What is Plasma in Semiconductor Manufacturing? In semiconductor manufacturing, plasma refers to a **partially ionized gas** containing: - Free electrons ($e^-$) - Positive ions ($\text{Ar}^+$, $\text{Cl}^+$, etc.) - Neutral atoms and molecules - Highly reactive radicals ($\text{F}^{\bullet}$, $\text{Cl}^{\bullet}$, $\text{O}^{\bullet}$) ### Plasma Characteristics These are typically **"cold" or non-equilibrium plasmas**: | Parameter | Symbol | Typical Value | |-----------|--------|---------------| | Electron Temperature | $T_e$ | $1-10 \text{ eV}$ $(10^4 - 10^5 \text{ K})$ | | Ion/Gas Temperature | $T_i$ | $\sim 300-500 \text{ K}$ | | Electron Density | $n_e$ | $10^9 - 10^{12} \text{ cm}^{-3}$ | | Pressure | $P$ | $1-100 \text{ mTorr}$ | The electron temperature is related to thermal energy by: $$T_e [\text{eV}] = \frac{k_B T}{e} \approx \frac{T[\text{K}]}{11600}$$ ### Debye Length The characteristic shielding distance in plasma: $$\lambda_D = \sqrt{\frac{\varepsilon_0 k_B T_e}{n_e e^2}} = 743 \sqrt{\frac{T_e [\text{eV}]}{n_e [\text{cm}^{-3}]}} \text{ cm}$$ For typical process plasmas: $\lambda_D \approx 10-100 \text{ μm}$ ### Plasma Frequency The characteristic oscillation frequency of electrons: $$\omega_{pe} = \sqrt{\frac{n_e e^2}{m_e \varepsilon_0}} \approx 9000 \sqrt{n_e [\text{cm}^{-3}]} \text{ rad/s}$$ ## 2. Major Plasma Processes ### 2.1 Plasma Etching The most critical plasma application—removes material in precisely defined patterns. #### 2.1.1 Reactive Ion Etching (RIE) Combines **chemical attack** from radicals with **directional ion bombardment**. **Key Mechanism - Ion-Enhanced Etching:** $$\text{Etch Rate}_{total} >> \text{Etch Rate}_{chemical} + \text{Etch Rate}_{physical}$$ The synergistic enhancement factor: $$\eta = \frac{R_{ion+neutral}}{R_{ion} + R_{neutral}}$$ Typically $\eta = 5-20$ for common etch processes. **Common Chemistries:** - **Silicon etching:** - $\text{SF}_6 \rightarrow \text{SF}_x + \text{F}^{\bullet}$ (isotropic) - $\text{Cl}_2 \rightarrow 2\text{Cl}^{\bullet}$ (anisotropic with sidewall passivation) - $\text{HBr} \rightarrow \text{H}^{\bullet} + \text{Br}^{\bullet}$ (high selectivity) - **Silicon dioxide etching:** - $\text{CF}_4 + \text{O}_2 \rightarrow \text{CF}_x + \text{F}^{\bullet} + \text{CO}_2$ - $\text{C}_4\text{F}_8 \rightarrow \text{CF}_2 + \text{C}_2\text{F}_4$ (polymerizing) - $\text{CHF}_3$ (selective to Si) - **Metal etching:** - $\text{Cl}_2/\text{BCl}_3$ for Al, W - $\text{Cl}_2/\text{O}_2$ for Ti, TiN **Silicon Etch Reaction:** $$\text{Si}_{(s)} + 4\text{F}^{\bullet} \xrightarrow{\text{ion assist}} \text{SiF}_{4(g)} \uparrow$$ **Oxide Etch Reaction:** $$\text{SiO}_2 + \text{CF}_x \xrightarrow{\text{ion bombardment}} \text{SiF}_4 \uparrow + \text{CO}_2 \uparrow$$ #### 2.1.2 Deep Reactive Ion Etching (DRIE) Creates **high-aspect-ratio structures** using the Bosch process. **Bosch Process Cycle:** 1. **Etch step** (typically 5-15 seconds): $$\text{SF}_6 \rightarrow \text{SF}_5^+ + \text{F}^{\bullet} + e^-$$ $$\text{Si} + 4\text{F}^{\bullet} \rightarrow \text{SiF}_4 \uparrow$$ 2. **Passivation step** (typically 2-5 seconds): $$\text{C}_4\text{F}_8 \rightarrow n\text{CF}_2 \rightarrow (\text{CF}_2)_n \text{ polymer}$$ **Achievable Parameters:** - Aspect ratio: $> 50:1$ - Etch depth: $> 500 \text{ μm}$ - Sidewall angle: $90° \pm 0.5°$ - Scallop size: $< 50 \text{ nm}$ (optimized) #### 2.1.3 Atomic Layer Etching (ALE) Provides **angstrom-level precision** through self-limiting reactions. **Two-Step ALE Cycle:** 1. **Surface modification** (self-limiting): $$\text{Surface} + \text{Reactant} \rightarrow \text{Modified Layer}$$ 2. **Modified layer removal** (self-limiting): $$\text{Modified Layer} \xrightarrow{\text{ion/thermal}} \text{Volatile Products} \uparrow$$ **Example - Silicon ALE with Cl₂/Ar:** - Step 1: $\text{Si} + \text{Cl}_2 \rightarrow \text{SiCl}_x$ (surface chlorination) - Step 2: $\text{SiCl}_x + \text{Ar}^+ \rightarrow \text{SiCl}_y \uparrow$ (ion-assisted removal) **Etch per Cycle (EPC):** $$\text{EPC} \approx 0.5 - 2 \text{ Å/cycle}$$ **Total Etch Depth:** $$d = N \times \text{EPC}$$ where $N$ = number of cycles. ### 2.2 Plasma-Enhanced Chemical Vapor Deposition (PECVD) Deposits thin films at **lower temperatures** than thermal CVD. **Temperature Advantage:** $$T_{PECVD} \approx 200-400°\text{C} \quad \text{vs} \quad T_{thermal CVD} \approx 700-900°\text{C}$$ **Deposition Rate Model (simplified):** $$R_{dep} = k_0 \exp\left(-\frac{E_a}{k_B T}\right) \cdot f(n_e, P, \text{flow})$$ Where plasma activation effectively reduces $E_a$. #### Common PECVD Films **Silicon Dioxide:** $$\text{SiH}_4 + \text{N}_2\text{O} \xrightarrow{\text{plasma}} \text{SiO}_2 + \text{H}_2 + \text{N}_2$$ or using TEOS: $$\text{Si(OC}_2\text{H}_5)_4 + \text{O}_2 \xrightarrow{\text{plasma}} \text{SiO}_2 + \text{CO}_2 + \text{H}_2\text{O}$$ **Silicon Nitride:** $$3\text{SiH}_4 + 4\text{NH}_3 \xrightarrow{\text{plasma}} \text{Si}_3\text{N}_4 + 12\text{H}_2$$ Film composition varies: $\text{SiN}_x\text{H}_y$ where $x \approx 0.8-1.3$ **Film Properties (Typical):** | Film | Refractive Index | Stress (MPa) | Density (g/cm³) | |------|------------------|--------------|-----------------| | $\text{SiO}_2$ | $1.46-1.47$ | $-100$ to $+200$ | $2.1-2.3$ | | $\text{SiN}_x$ | $1.8-2.1$ | $-200$ to $+500$ | $2.4-2.8$ | #### High-Density Plasma CVD (HDP-CVD) Simultaneous deposition and sputtering for **gap fill**. **Deposition-to-Sputter Ratio:** $$D/S = \frac{R_{deposition}}{R_{sputter}}$$ Optimal gap fill: $D/S \approx 3-5$ **Gap Fill Mechanism:** - Deposition occurs everywhere - Sputtering preferentially removes material from corners/top - Net result: bottom-up fill ### 2.3 Physical Vapor Deposition (Sputtering) Argon ions bombard a solid target, ejecting atoms. #### Sputter Yield Number of target atoms ejected per incident ion: $$Y = \frac{3\alpha}{4\pi^2} \cdot \frac{4M_1 M_2}{(M_1 + M_2)^2} \cdot \frac{E}{U_s}$$ Where: - $M_1$ = ion mass - $M_2$ = target atom mass - $E$ = ion energy - $U_s$ = surface binding energy - $\alpha$ = dimensionless function of mass ratio **Typical Sputter Yields** (500 eV Ar⁺): | Target | Yield (atoms/ion) | |--------|-------------------| | Al | 1.2 | | Cu | 2.3 | | W | 0.6 | | Ti | 0.6 | | Ta | 0.6 | #### Ionized PVD (iPVD) Ionizes sputtered metal atoms for **directional deposition**. **Ionization Fraction:** $$f_{ion} = \frac{n_{M^+}}{n_{M^+} + n_M}$$ Modern iPVD: $f_{ion} > 70\%$ **Bottom Coverage Improvement:** $$\text{BC} = \frac{t_{bottom}}{t_{field}}$$ iPVD achieves BC > 50% in features with AR > 5:1 ### 2.4 Plasma-Enhanced Atomic Layer Deposition (PEALD) Uses plasma as one of the reactants in the ALD cycle. **Standard ALD Cycle:** 1. Precursor A exposure (self-limiting) 2. Purge 3. Precursor B exposure (self-limiting) 4. Purge **PEALD Advantage:** Plasma provides reactive species at lower temperatures: $$\text{O}_2 \xrightarrow{\text{plasma}} 2\text{O}^{\bullet}$$ vs thermal: $$\text{H}_2\text{O} \xrightarrow{T > 300°C} \text{OH}^{\bullet} + \text{H}^{\bullet}$$ **Example - HfO₂ PEALD:** - Step 1: $\text{Hf(NMe}_2)_4 + \text{Surface-OH} \rightarrow \text{Surface-O-Hf(NMe}_2)_3 + \text{HNMe}_2$ - Step 2: $\text{Surface-O-Hf(NMe}_2)_3 + \text{O}^{\bullet} \rightarrow \text{Surface-HfO}_2\text{-OH}$ **Growth per Cycle (GPC):** $$\text{GPC} \approx 0.5-1.5 \text{ Å/cycle}$$ **Film Thickness:** $$t = N \times \text{GPC}$$ ## 3. Plasma Sources ### 3.1 Capacitively Coupled Plasma (CCP) Two parallel plate electrodes with RF power (typically 13.56 MHz). **Sheath Voltage:** $$V_{sh} \approx \frac{V_{RF}}{2}$$ **Ion Bombardment Energy:** $$E_{ion} \approx eV_{sh} = \frac{eV_{RF}}{2}$$ For $V_{RF} = 500\text{ V}$: $E_{ion} \approx 250\text{ eV}$ **Plasma Density:** $$n_e \propto P_{RF}^{0.5-1.0}$$ Typical: $n_e \approx 10^9 - 10^{10} \text{ cm}^{-3}$ **Limitations:** - Ion flux and energy are coupled - Lower density than ICP ### 3.2 Inductively Coupled Plasma (ICP) RF coil induces plasma currents. **Power Transfer:** $$P_{plasma} = \frac{V_{ind}^2}{R_{plasma}}$$ Where induced voltage: $$V_{ind} = -\frac{d\Phi}{dt} = \omega \cdot N \cdot B \cdot A$$ **Key Advantage - Independent Control:** - **Source power** ($P_{source}$) → Ion flux ($\Gamma_i$) $$\Gamma_i \propto n_e \propto P_{source}^{0.5-1.0}$$ - **Bias power** ($P_{bias}$) → Ion energy ($E_i$) $$E_i \propto V_{bias} \propto \sqrt{P_{bias}}$$ **Typical Parameters:** | Parameter | CCP | ICP | |-----------|-----|-----| | $n_e$ (cm⁻³) | $10^9-10^{10}$ | $10^{11}-10^{12}$ | | Pressure (mTorr) | $50-500$ | $1-50$ | | Ion energy control | Limited | Independent | ### 3.3 Electron Cyclotron Resonance (ECR) Microwave power (2.45 GHz) + magnetic field. **Resonance Condition:** $$\omega = \omega_{ce} = \frac{eB}{m_e}$$ At 2.45 GHz: $B_{res} = 875 \text{ G}$ **Advantages:** - Very high density: $n_e > 10^{12} \text{ cm}^{-3}$ - Low pressure operation: $< 1 \text{ mTorr}$ - Efficient power coupling ### 3.4 Remote Plasma Plasma generated away from substrate—only **radicals** reach wafer. **Radical Flux at Wafer:** $$\Gamma_r = \Gamma_0 \exp\left(-\frac{L}{\lambda_{mfp}}\right) \cdot \exp\left(-\frac{t}{\tau_{recomb}}\right)$$ Where: - $L$ = distance from plasma - $\lambda_{mfp}$ = mean free path - $\tau_{recomb}$ = recombination lifetime **Benefits:** - No ion bombardment damage - Gentle surface treatment - Ideal for cleaning and selective processes ## 4. Plasma Sheath Physics The sheath is the region between bulk plasma and surfaces. ### 4.1 Sheath Formation Electrons are faster than ions: $$v_e = \sqrt{\frac{8k_BT_e}{\pi m_e}} >> v_i = \sqrt{\frac{8k_BT_i}{\pi m_i}}$$ Result: Surfaces charge **negatively**, forming a positive space-charge sheath. ### 4.2 Bohm Criterion Ions must reach sheath edge with minimum velocity: $$v_{Bohm} = \sqrt{\frac{k_B T_e}{m_i}}$$ **Ion flux to surface:** $$\Gamma_i = n_s \cdot v_{Bohm} = n_s \sqrt{\frac{k_B T_e}{m_i}}$$ Where $n_s \approx 0.61 n_e$ at sheath edge. ### 4.3 Child-Langmuir Law Ion current density through collisionless sheath: $$J_i = \frac{4\varepsilon_0}{9} \sqrt{\frac{2e}{m_i}} \cdot \frac{V^{3/2}}{d^2}$$ ### 4.4 Sheath Thickness $$s = \frac{\sqrt{2}}{3} \lambda_D \left(\frac{2V_s}{T_e}\right)^{3/4}$$ For $V_s = 100\text{ V}$, $T_e = 3\text{ eV}$: $s \approx 10-100 \text{ μm}$ ### 4.5 Ion Angular Distribution **Without collisions** (low pressure): $$\theta_{max} \approx \arctan\sqrt{\frac{T_i}{eV_s}}$$ Typically $\theta_{max} < 5°$ — highly directional! **With collisions** (high pressure): $$\theta \propto \frac{s}{\lambda_{mfp}}$$ Collisions broaden the angular distribution, reducing anisotropy. ## 5. Etch Process Metrics ### 5.1 Etch Rate $$R = \frac{\Delta d}{\Delta t} \quad [\text{nm/min}]$$ Typical values: - Si in $\text{SF}_6$: $200-1000$ nm/min - $\text{SiO}_2$ in $\text{CF}_4$: $50-200$ nm/min - Poly-Si in $\text{Cl}_2$: $100-500$ nm/min ### 5.2 Selectivity Ratio of etch rates between two materials: $$S_{A:B} = \frac{R_A}{R_B}$$ **Critical Selectivities:** | Process | Target/Stop | Required Selectivity | |---------|-------------|---------------------| | Gate etch | Poly-Si / $\text{SiO}_2$ | $> 50:1$ | | Contact etch | $\text{SiO}_2$ / Si | $> 20:1$ | | Spacer etch | $\text{SiN}$ / Si | $> 100:1$ | ### 5.3 Anisotropy $$A = 1 - \frac{R_{lateral}}{R_{vertical}}$$ - $A = 1$: Perfectly anisotropic (vertical sidewalls) - $A = 0$: Perfectly isotropic (hemispherical profile) ### 5.4 Uniformity $$U = \frac{R_{max} - R_{min}}{2 \cdot R_{avg}} \times 100\%$$ Target: $U < 3\%$ across 300mm wafer. ### 5.5 Aspect Ratio Dependent Etching (ARDE) Etch rate decreases with aspect ratio: $$R(AR) = R_0 \cdot f(AR)$$ **Knudsen Transport Model:** $$\frac{R(AR)}{R_0} = \frac{1}{1 + \frac{AR}{K}}$$ Where $K$ is a chemistry-dependent constant (typically 5-20). ## 6. Process Control Parameters ### 6.1 RF Power **Source Power** (ICP coil or CCP top electrode): - Controls plasma density: $n_e \propto P^{0.5-1.0}$ - Controls radical production - Typical: $100-3000$ W **Bias Power** (substrate electrode): - Controls ion energy: $E_i \propto \sqrt{P_{bias}}$ - Controls anisotropy - Typical: $0-500$ W ### 6.2 Pressure **Effects:** | Pressure | Mean Free Path | Ion Directionality | Radical Density | |----------|----------------|-------------------|-----------------| | Low ($< 10$ mTorr) | Long | High | Lower | | High ($> 100$ mTorr) | Short | Low | Higher | **Mean Free Path:** $$\lambda = \frac{k_B T}{P \cdot \sigma}$$ At 10 mTorr, 300K: $\lambda \approx 5 \text{ mm}$ ### 6.3 Gas Flow and Chemistry **Residence Time:** $$\tau_{res} = \frac{P \cdot V}{Q}$$ Where $Q$ = flow rate (sccm), $V$ = chamber volume. **Dissociation Fraction:** $$\alpha = \frac{n_{dissociated}}{n_{total}}$$ Higher power → higher $\alpha$ ### 6.4 Temperature **Wafer Temperature Effects:** - Reaction rates: $k \propto \exp(-E_a/k_BT)$ - Desorption rates - Selectivity - Film stress (PECVD) Typical range: $-20°C$ to $400°C$ ## 7. Advanced Topics ### 7.1 Pulsed Plasmas Modulate RF power on/off with period $T_{pulse}$. **Duty Cycle:** $$D = \frac{t_{on}}{t_{on} + t_{off}} = \frac{t_{on}}{T_{pulse}}$$ **Benefits:** - Narrower ion energy distribution - Reduced charging damage - Better selectivity control **Ion Energy Distribution (IED):** - CW plasma: Bimodal distribution - Pulsed plasma: Controllable, narrower distribution ### 7.2 Plasma-Induced Damage **Charging Damage:** $$V_{gate} = \frac{Q_{accumulated}}{C_{gate}} = \frac{(J_e - J_i) \cdot t \cdot A}{C_{gate}}$$ When $V_{gate} > V_{BD}$ → oxide breakdown! **Mitigation:** - Pulsed plasmas - Neutral beam sources - Process optimization **UV Damage:** VUV photons ($E > 9$ eV) can break Si-O bonds. $$\text{Si-O} + h\nu \rightarrow \text{defects}$$ ### 7.3 Loading Effects **Macro-loading:** $$R = R_0 \cdot \frac{1}{1 + \frac{A_{etch}}{A_0}}$$ More exposed area → lower etch rate (radical consumption). **Micro-loading:** Local pattern density affects local etch rate. $$\Delta R = R_{isolated} - R_{dense}$$ ### 7.4 Profile Control **Sidewall Passivation Model:** $$\theta = \arctan\left(\frac{R_{lateral}}{R_{vertical}}\right) = \arctan\left(\frac{R_V - R_P}{R_V}\right)$$ Where: - $R_V$ = vertical etch rate - $R_P$ = passivation deposition rate **Ideal Vertical Profile:** $R_P = R_{lateral}$ on sidewalls ## 8. Equipment and Monitoring ### 8.1 Chamber Components - **Chuck/Pedestal:** Temperature-controlled substrate holder - Electrostatic chuck (ESC) for wafer clamping - He backside cooling for thermal contact - **Gas Distribution:** - Showerhead or side injection - Mass flow controllers (MFCs): $\pm 1\%$ accuracy - **Pumping System:** - Turbo-molecular pump: base pressure $< 10^{-6}$ Torr - Throttle valve for pressure control - **RF System:** - Generator: 13.56 MHz, 2 MHz, 60 MHz common - Matching network: L-type or $\pi$-type ### 8.2 In-Situ Monitoring **Optical Emission Spectroscopy (OES):** Monitor plasma species by emission lines: | Species | Wavelength (nm) | |---------|-----------------| | F | 703.7 | | Cl | 837.6 | | O | 777.4 | | CO | 483.5 | | Si | 288.2 | | SiF | 440.0 | **Endpoint Detection:** $$\text{EPD Signal} = \frac{I_{product}}{I_{reference}}$$ Endpoint when signal changes (product species decrease). **Interferometry:** Film thickness from interference: $$2nd\cos\theta = m\lambda$$ Real-time thickness monitoring during etch/deposition. ## 9. Challenges at Advanced Nodes ### 9.1 Feature Dimensions At 3nm node: - Gate length: $\sim 12$ nm ($\sim 50$ atoms) - Fin width: $\sim 5-7$ nm - Metal pitch: $\sim 20-24$ nm **Precision Required:** $$\sigma_{CD} < 0.5 \text{ nm}$$ ### 9.2 New Architectures **Gate-All-Around (GAA) FETs:** - Requires isotropic etching for channel release - Selective removal of SiGe vs Si - Inner spacer formation **3D NAND:** - $> 200$ stacked layers - High aspect ratio etching ($> 60:1$) - Memory hole etch: $> 10$ μm deep ### 9.3 New Materials | Material | Application | Etch Chemistry Challenge | |----------|-------------|-------------------------| | $\text{HfO}_2$ | High-k gate | Low volatility of Hf halides | | $\text{Ru}$ | Contacts | RuO₄ volatility issues | | $\text{Co}$ | Interconnects | Selectivity to Cu | | $\text{SiGe}$ | Channel | Selectivity to Si | ## 10. Key Equations ### Plasma Parameters $$\lambda_D = \sqrt{\frac{\varepsilon_0 k_B T_e}{n_e e^2}}$$ $$v_{Bohm} = \sqrt{\frac{k_B T_e}{m_i}}$$ $$\Gamma_i = 0.61 \cdot n_e \cdot v_{Bohm}$$ ### Etch Metrics $$S_{A:B} = \frac{R_A}{R_B}$$ $$A = 1 - \frac{R_{lateral}}{R_{vertical}}$$ $$U = \frac{R_{max} - R_{min}}{2R_{avg}} \times 100\%$$ ### Process Dependencies $$n_e \propto P_{source}^{0.5-1.0}$$ $$E_i \propto \sqrt{P_{bias}}$$ $$R \propto \Gamma_i \cdot f(E_i) \cdot [X^{\bullet}]$$
DIP with plastic body.
PGA with organic substrate.
Pushes compound into cavity.
Distance between components in tape.
Study crystal symmetry with polarized light.
Deposit polycrystalline silicon for gates and interconnects.
Use polyimide adhesive.